• 통큰쿠폰이벤트-통합
  • 통합검색(3,738)
  • 리포트(3,121)
  • 자기소개서(274)
  • 시험자료(149)
  • 방송통신대(129)
  • 논문(34)
  • 기업보고서(13)
  • 서식(7)
  • ppt테마(5)
  • 이력서(3)
  • 노하우(3)

"라이브러리화" 검색결과 141-160 / 3,738건

  • (A+ 받음)대변 내 박테리아 DNA 추출 및 분석 실험 결과보고서
    서열 결정 반응을 하기 위해 염기 서열 결정 라이브러리를 제작한다. ... 그렇게 라이브러리를 제작 후 어댑터 부위에서 시퀀서상의 상보적인 프라이머와 결합하여 대규모 복제가 이루어진다. ... 염기 서열 결정 라이브러리는 다양한 방법으로 제작될 수 있는데, 염기 서열을 결정하고자 하는 DNA를 고정하는 방법에 따라 일반적으로 유리 슬라이드를 사용하는 방법이나 금속 구슬을
    리포트 | 5페이지 | 1,500원 | 등록일 2023.10.04 | 수정일 2024.01.04
  • 독서와토론_내 평생에 읽을 독서 목록 버켓리스트. (구체적 설명 포함)
    미드나잇 라이브러리라고 하는 책을 읽고 싶다. ... 본인의 독서 버킷리스트1) 인간관계론2) 표현의 기술3) 미드나잇 라이브러리Ⅲ.결론Ⅰ. 서론독서라고 하는 것은 단순히 책을 읽는 것만을 의미하는 것이 아니다. ... 큰 문제의 원인이 된다고 본다.그래서 건강하게 본인의 감정 등을 표현하는 방법을 배울 필요가 있다고 생각하며 본인도 역시 그런 방법을 배우고 싶다는 생각이 들었다.3) 미드나잇 라이브러리다음으로는
    리포트 | 5페이지 | 2,000원 | 등록일 2023.08.02
  • [유닉스 시스템 프로그래밍] 1. 리눅스 프로그래밍 개요
    비교하여 설명하라.시스템호출 : 하드웨어 자원에 접근하기 위해서 커널에서 제공하는 기능이다.라이브러리 : 프로그램이 라이브러리에 정의 된 기능에 접근하기 위한 요청이다3.Mkdir은 ... .: 유닉스 시스템 프로그래밍이란 일반적인 응용 프로그래밍과는 달리 유닉스에서 제공하는 시스템 호출을 사용해 프로그램을 작성하는 것이다.2.시스템 호출과 라이브러리 함수의 동작 과정을 ... 이제 링크 과정을 통해 라이브러리 파일과 연결을 하고 마지막으로 실행 파일인 .out 을 생성한다.vi 편집기 명령어 정리유형명령어기능편집 명령어I커서 위치부터 입력Ctrl + F다음
    리포트 | 5페이지 | 1,000원 | 등록일 2022.02.09
  • 사물 인터넷 플랫폼과 디바이스
    - 41 - 주변장치 또는 마이크로 컨트롤러의 특정 기능을 위한 전용의 C++ 스타일 라이브러리 대부분의 아두이노 보드에서 사용 가능 라이브러리별로 디렉터리 관리 라이브러리 디렉터리에는 ... 소스 (*. cpp ) 및 헤더 (*.h) 파일을 포함하는 디렉터리와 예제 디렉터리가 포함 라이브러리의 종류 기본 라이브러리 : 아두이노 IDE 와 함께 기본적으로 설치 확 ... 사물 인터넷 플랫폼과 디바이스Arduino Arduino 프로그래밍 기초 제어문과 연산자 실습 목표 및 실습 장비 설명 아두이노 개발 환경 만들기 아두이노 라이브러리 및 기본함수 최종
    리포트 | 76페이지 | 4,000원 | 등록일 2023.01.06
  • 디지털설계 실습보고서
    소스코드library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어use ieee.std_logic_1164.all; //ieee라는 라이브러리에서 ieee.std_logic ... _1164라는 이름의 패키지를 가져오겠다는 뜻use ieee.std_logic_unsigned.all; //ieee라는 라이브러리에서 ieee.std_logic_unsigned라는
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 시계열 데이터의 비지도 분석
    사용해야 한다.3) 라이브러리- tslearn : Time-Series K-means, K-shape clustering, KernelKMean등과 같은 방법을 제공함- river ... : alibi-detect내의 Spectral Residual2) 구글- 구조적 베이지안 시계열 방법을 사용한 인과적 영향 분석 진행- 구현 반영한 라이브러리 : CausalImpact ... 대해 푸리에 변환 수행 -> 변환 신호의 로그 진폭에 대해 스펙트럼 잔차(SR)을 적용함 -> 역 푸리에변환을 사용 -> 스펙트럼 데이터를 시간 영역에 다시 투영- 구현 반영한 라이브러리
    리포트 | 5페이지 | 3,000원 | 등록일 2022.05.17
  • 파이썬으로 공학계산 따라하기 VIII - 데이터처리(fitting)
    여기에서는 sklearn 라이브러리를 추가로 사용하도록 하며, 아래의 명령어를 순차적으로 입력하면 어렵지 않게 계산값을 얻을 수 있다. ... 그렇다면 원하는 함수의 형태를 어느 정도 알고 있을 때, 필요한 상수의 값을 구하는 방법으로 scipy 라이브러리에서 제공하는 curve_fit 을 사용하는 것을 어떨까 한다.아래의 ... 우선 scipy 라이브러리를 불러오고, fitting에 활용할 기본함수를 정의하고, curve_fit의 명령어를 이용하여 얻고자 하는 기본함수에 필요한 상수들을 결정하여 보자.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.12.28
  • AJAX 강의 07강
    /getbootstrap.com/Bootstrap 라이브러리 깔끔한 UI 를 위한 라이브러리를 이용한 게시글 작성 폼AJAX 란 ? ... Ajax 의 기본 문법에 대해 공부해봅니다 .저번 시간 복습 구름 IDE 에서 퀴즈를 풀어봅시다 .Bootstrap 라이브러리 깔끔한 UI 를 위한 라이브러리 링크 : https:/
    리포트 | 19페이지 | 3,000원 | 등록일 2021.12.03
  • 파이썬으로 공학계산 따라하기 VII - 미분방정식(수치해, solve_ivp, RK4)
    solve_ivp 와 2) 라이브러리에서 제공하는 방법이 아닌 일반적으로 사용하는 수치 해석법의 하나인 Runge-Kutta (4th order) 방법을 파이썬으로 구현하는 과정을 ... 따라하기 VII – 미분방정식 (수치해, solve_ivp, RK4)파이썬으로 공학계산 따라하기 VII 에서는 수치해를 통한 미분방정식의 해를 구하는 방법 중 하나인, 1) scipy 라이브러리의 ... solve_ivp로 구하는 방법부터 살펴보도록 하자.Reaction #1 : M + H X + NReaction #2: X + H T + N계산에 필요한 상수들을 입력하고, 라이브러리
    리포트 | 7페이지 | 1,000원 | 등록일 2023.12.15
  • 교류및전자회로실험 실험2 TIMER기초 예비보고서
    복잡한 타이머 레지스터와 인터럽트를 몰라도 타이머를 손쉽게 사용할 수 있도록 라이브러리를 제공하고 있는데, 가장 널리 쓰이는 라이브러리가 SimpleTimer 라이브러리이다. ... 라이브러리의 설치는 아두이노 설치 경로 아래 “libraries” 디렉토리에 “SimpleTimer” 이름으로 새롭게 폴더를 만들고, 위에서 다운받은 코드를 이 디렉토리에 저장하면 ... 해당 라이브러리는 Hyperlink "https://playground.arduino.cc/code/simpletimer/" https://playground.arduino.cc/code
    리포트 | 10페이지 | 1,000원 | 등록일 2021.03.20
  • 아두이노로 모터 돌리기
    가져오기 사용하고자 하는 라이브러리를 선택하면 자동으로 해당하는 라이브러리의 include 문을 추가해준다 . ... 표시될 위치를 두 번째(1) 줄, 가장 왼쪽(0)으로 설정한다는 뜻 LCD 소개LCD 에 글자 출력하기 회로 연결LCD 에 글자 출력하기 소스 코드아두이노 프로그램에서 스케치 - 라이브러리
    리포트 | 18페이지 | 1,000원 | 등록일 2021.12.26
  • [a+취득자료] 문화를 활용한 마케팅의 성공 기업을 조사하여, 그들의 문화마케팅 성공전략을 설명하시오.
    가회동 디자인 라이브러리에서는 디자인과 관련한 도서를 이용할 수 있으며, 청담동 트래블 라이브러리에서는 현대인에게 중요한 여행과 관련된 도서를, 그리고 이태원 뮤직 라이브러리에서는
    리포트 | 3페이지 | 2,700원 | 등록일 2023.07.20
  • cDNA 합성 생물실험 보고서
    풍부하다대표적인 cDNA 서열 라이브러리를 만든다2. ... 프라이머 종류oligo(dT) 프라이머gene specific 프라이머random 프라이머장점폴리 A+ mRNA에만 붙는다실제 coding하고 있는 서열(ORF)서열에 대해 cDNA 라이브러리
    리포트 | 2페이지 | 1,000원 | 등록일 2022.12.26
  • 전기및디지털회로실험 실험M1-1 예비보고서
    공개된 아두이노 라이브러리 찾아 파일을 받아 등록하면 초기에 장착되지 않은 각종 라이브러리를 등록 사용할 수 있다. ... 아두이노 프로그램 실행 시, 컴퓨터와 : USB-UART 변환을 하고, MCU의 부트로더가 동작하여 기계어 코드가 업로드 된다.라이브러리 관리 : 등록 된 라이브러리 목록 및 예제를 ... 이와 더불어 기타 개발에 필요한 각종 옵션 및 라이브러리 관리를 할 수 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 2023년 1학기 방송통신대 파이썬프로그래밍기초 출석수업대체과제물)다음 파이썬의 프로그래밍 언어적 특징 중 2개를 선택하여 각각 500자 정도로 다른 프로그래밍 언어와 비교서술하시오 등
    컴파일러는 컴퓨터의 하드웨어에서 직접 실행할 수 있는 바이너리 파일(실행 파일 또는 라이브러리)을 생성한다. ... 이밖에도 Jython, IronPython, PyPy 및 MicroPython과 같은 다른 인터프리터가 있다.파이썬을 설치하면, Python 인터프리터(CPython), 표준 라이브러리 ... C의 경우 다양한 구성 요소 또는 다양한 시스템과의 인터페이스를 연결할 수 있지만, Python과 같은 언어의 사용 용이성, 가독성 및 풍부한 라이브러리 지원과 비교하면 글루 언어로서의
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.04.10
  • (IT 개발자)jQuery 개념 및 용어 사용법
    - 라이브러리를 제공하는 주소를 이용하여 파일 경로를 지정함 ... 기존 복잡했던 클라이언트 측 HTML스크립팅(자바스크립트)을간소화 하기 위해 고안된 Javascript 라이브러리로적은양의 코드로 빠르고, 풍부한 기능을 제공함.libary : 프로그램 ... script type="text/javascript" src="jQuery.js파일 경로"> 오프라인 / 온라인 상태에 따라 파일 경로가 달라질 수 있음.1. jQuery 라이브러리
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.11.11
  • 종합설계 및 프로젝트 최종보고서
    이용하여 테이블의 tb값이 none인지 파악하고 none이 아닐 경우 데이터를 분석한다.datetime 라이브러리를 이용하여 시작 날짜와 마지막 날짜를 입력하고 os 라이브러리를 ... 이용하여 네이버 주가 데이터에 요청한다. beautifulsoup 라이브러리를 이용하여 시세의 첫 페이지와 마지막 페이지 정보를 받아온 후 pandas와 traceback 라이브러리를 ... Jupyter Notebook, BeautifulSoup4.2 시스템 설계4.3 구성요소별 기능 구현4.3.1 딥러닝구성요소기능 구현주가 데이터크롤링종목코드를 입력한 후 request 라이브러리
    리포트 | 51페이지 | 4,000원 | 등록일 2022.03.31 | 수정일 2022.04.08
  • 이력서/입사지원서/경력기술서/자기소개서 양식
    능력구분TOOL상세내용 (자세히)예) 언어예) 임베디드예) CLinux OS, WINCE, 드라이버 개발, 펌웨어 개발 알고리즘 프로그램 작성 등을 수행C++전 직장에서 제어를 위한 간단한 MFC라이브러리
    이력서 | 6페이지 | 500원 | 등록일 2021.02.04
  • 2021 한솔 PNS WEB 개발 및 운영 직무 자기소개서
    조사를 진행한 결과 무료 자바스크립트 차트 라이브러리인 ChartJs를 발견했습니다. ChartJs는 처음 맞이한 라이브러리였습니다. ... 차트를 자바스크립트 라이브러리로 구현해야겠다는 생각이 들었습니다. 차트를 만드는 데 필요한 자바스크립트 라이브러리들을 살펴보니 대부분 유료였습니다. ... 무료 차트 자바스크립트 라이브러리를 찾기 위해서 영문으로 검색을 하면서 자료 조사를 진행했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.09.05
  • 쓰레드 구현 모델과 쓰레드 폴링에 대해 조사하시오
    쓰레드 폴링의 구현 방법으로는 스레드 풀 라이브러리를 사용하는 방법과 직접 구현하는 방법이 있다. ... 스레드 풀 라이브러리를 사용하면 쉽고 간편하지만, 직접 구현하는 경우에는 세밀한 조정이 가능하다는 장점이 있다.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.11.11
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대