• 통큰쿠폰이벤트-통합
  • 통합검색(814)
  • 리포트(799)
  • 시험자료(9)
  • 방송통신대(3)
  • 자기소개서(2)
  • 논문(1)

"논리회로실험16" 검색결과 141-160 / 814건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... RAM (74HC00 2개, 74HC03)실험 과정: 준비한 결선도를 참고하여 아래 그림과 같이 2-bit RAM을 74HC00과 74HC03을 이용하여 회로를 구성한다. ... 때문에 Address에 따른 16개의 Word에 각각의 데이터를 저장할 수 있다.→ 기능표에서 알 수 있듯이 ME와 WE를 선택하여 기능을 수행시킬 수 있는데 각각 1과 1이면 동작을
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    PROM은 AND Gate의 제약으로 논리소자로는 잘 쓰이지 않는 반면 PAL은 구조가 생산 속도와 비용 측면에서 유리하여 현재 널리 쓰이고 있다.과거 작은 크기의 회로를 구현할 때 ... 팝업창이 뜨면 yes를 누른다.16. 다운로드할 bit 파일을 선택한 후 open 클릭한다.17. 이어서 prom은 프로그래밍하지 않으려면 cancel을 클릭한다.18. ... 집적도가 우수하고 고성능이며 회로의 KNOW-HOW에 대한 기밀 유지가 가능하다는 추가적인 장점도 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 4차 산업혁명 시대의 컴퓨터 개론(개정판) 1~4 단원 솔루션
    논리 연산자를 정리하고 이것이 컴퓨터에서 중요하게 쓰이는 이유가 무엇인지를 설명하시오.→ 논리 연산자로 논리회로, 논리회로, 논리부정 회로 등이 있다. 2진 정보를 취급하며 ... 진공관, 트랜지스증권, 금융 분석이나 석유 화학, 3차원 그래픽 시뮬레이션, 기상 예측 모의실험 등에도 점차 쓰이고 있는 추세이다.6. ... ***********110(2)(270F)16 : 1000011101110(3) 16진수로 변환(100110)2 : 26(16)(11101)2 : 1d(354)8 : ec(4450)
    시험자료 | 12페이지 | 2,000원 | 등록일 2020.04.22 | 수정일 2022.05.11
  • 서강대학교 디지털논리회로실험 레포트 4주차
    디지털 논리회로 실험 결과 보고서4주차 Multiplexers, Exclusive-OR, andThree state devices5조1.실험제목: Multiplexers, Exclusive-OR ... 실험 과정STEP1) 그림 10의 회로를 bread board에 구성한다.그림 SEQ 그림 \* ARABIC 10. ... 따라서 상용으로 존재하는 multiplexer에서 일반적으로 n= 2, 4, 8, 16이고 b=1, 2, 3, 4 등이다. n개의 입력 중 하나를 선택하는 신호의 개수 s는 log2n으로
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 심리학 개론에서의 학습한 지식과 학생의 사고를 통해 간호현장에서 적용
    지금까지 배운 심리학 개론에서의 학습한 지식과 학생의 사고를 통해 간호현장에서 더욱 중요하게 적용 (또는 응용) 되어야 할 심리학의 지식과 적용 방안을 논리적으로 설명하시오.학번: ... 것은 외부 환경이므로 이는 관찰될 수 있고, 증빙할 수 있고, 객관화할 수 있다.이러한 심리학은 고대에서부터 철학적 질문을 시작하였고, 중세에서는 신학으로서의 종속화된 철학이었으며 16세기에 ... 현대인지심리학은 세상에 대한 정보를 어떻게 받아들이고 처리하는지를 다루는 분야로 확장되었으며, 이는 인공지능 분야에서도 활용을 하고 있으며, 신경망회로를 통해 인간 두뇌의 작동 방식을
    리포트 | 2페이지 | 1,500원 | 등록일 2023.09.05
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    실험이다. ... Circular shift4-2) logical shift(논리 시프트)논리 shift이다. ... TestBench Source그림14, 15 TestBench Source4.Results Analysis1)Wave form그림16.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서10
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... :조교명:분 반:학 번:성 명:전자공학부실험10. alog Converter와 Analog Digital Converter 회로의 구성과 동작 원리에 대해 이해한다.3. ... 변환을 더욱 이상적으로 할 수 있는 방법을 모색한다.2) 실험이론?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    회로도 설명 / 시뮬레이션 및 실험결과 분석5. 실험사진, 동영상6. ... OC2를 하나만 선택사용하면 단순히 하나의 출력 비교 신호를 출력하지만, 이 두가지 신호를 모두 설정하여 사용하는 경우 PB7의 비트 값에 따라 OC1C와 OC2의 출력 신호를 논리적으로 ... 있도록 설계급가속 및 급제도에 대해 대응할 수 있는 구조.토크가 커질수록 회전자의 관성 모멘트는 낮아지는 구조이다.SG90 servo motor specPWM 제어가 가능하도록 16bit
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • (A+/이론/예상결과/고찰) 아주대 전자회로실험 설계보고서1
    제안서를 쓸 때는 이치에 맞는다 싶었지만 막상 실험을 해보니 논리에 맞지 않았다. R와 C가 정해지면 f가 정해진다는 것인데 R은 알지만 측정하는 C는 모른다. ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 전자회로실험교수명 ... 또 사용한 저항이 16kΩ으로 걸리는 전압에 비해 매우 크므로 흐르는 전류도 5mA보다 작다고 할 수 있으므로 만족스럽다. 다만 커패시턴스 측정 오차가 매우 크다.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.10.24
  • 홍익대 디지털논리실험및설계 4주차 예비보고서 A+
    디지털 논리실험 및 설계 4주차 예비보고서1. ... (1)이 8-to-1 멀티플렉서로 동작하는 원리를 자세히 설명하시오.- 4-to-1 멀티플렉서 74153과 2-to-1 멀티플렉서 74157으로 구성된 회로이다.- 8-to-1 멀티플렉서는 ... 74139, 3-INPUT AND 게이트 7411의 datasheet 를 확인하시오.- 4-to-1 Multiplexer- 4-to-1 Multiplexer는 8번핀에 Ground, 16
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 아주대 논리회로실험 실험7 Shift Register 예비보고서
    following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2020.11.04과목명: 논리회로실험교수명 ... 양쪽 핀이 8개씩 총 16개가 존재하고, 5번 핀과 12번 핀은 회로를 동작시키기 위한 전 원이 들어간다. 각 입력에 대한 truth table로 나타내면 다음과같다. ... 양쪽의 핀이 7개씩 총 14개가 존재하고, 7번 핀과 14번 핀은 회로를 동작시키기 위한 전원이 들어간다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 논리회로실험 결과보고서 디코더 엔코더
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 & 엔코더1. ... dw가 나올 수 있는 모든 경우의 수인 16가지를 모두 입력하여 50ns의 텀으로 암호화된 값이 출력될 수 있도록 설정하였다.3) Wave Form? ... 실험 결과- 실험 1. 4 X 7 엔코더 해밍코드를 설계하시오.(1) 4 X 7 엔코더 해밍코드1) 소스코드4 X 7 엔코더 해밍코드?
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제]실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 ... 시그널 temp의 글리치가 실제 실험에서도 무시 가능한 요소인지는 실험을 해야 알 수 있겠지만, 글리치 신호는 게이트를 거칠수록 약해질 것이라는 것은 예상할 수 있는 사실이다. ... 위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    멀티플렉서멀티플렉서는 여러 개의 입력데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로이며 데이터 선택기라고도 한다. ... 디지털공학 실험 ? 5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 예비보고서1. 목적가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다.나. ... 이 3비트 필드는 8입력, 16비트 멀티플렉서의 선택입력에 연결된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    실험목적Verilog HDL의 기본 사용법을 익히고 비트 단위 연산자, gate primitive, behavioral modeling 등 논리회로를 설계하는 다양한 방법론을 학습한다 ... 여기서 LSB x는 unknown bit이다. ‘01x’로 표현된다.- 4’h4 : hexadecimal(16진수) 4가 4bit로 표현된다. ... 전자전기컴퓨터설계실험Ⅱ예비리포트Lab-03 Basic Gates in Verilog작성일: 20.09.201.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서주제 : #1 BCD 가산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 ... 대신, 앞서 언급했듯이 BCD는 16가지 가능한 출력(0000 _{(2)} ~1111 _{(2)}) 중에서 쓰지 않고 버려지 방식이다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 최소한의 IC칩을 사용해 7-segment 구동 실험
    Quartus를 이용한 회로도 작성136. Quartus 시뮬레이션177. Orcad를 이용한 회로도 작성218. 실험 결과 및 검토229. 고찰301. ... 여기서 XNOR Gate로 묶이는 Seg_b, c, f, g가 문제였다.논리회로 이론 시간에 강의를 들으며 정리했던 노트로 XNOR Gate를 XOR Gate로 바꾸는 방법을 찾아가기 ... 저번 수업시간에 GAL16V8을 사용하면서 교수님께 배운 출력이 0이 되는 것을 이용해서 논리식을 정리했더니 XOR Gate로 묶이는 것을 발견했다.어차피 최소한의 IC칩을 사용해야
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 경북대학교 기초전기전자실험 PLC제어 실험보고서 [기계공학부]
    PLC 제어 실험보고서기계공학부1. ... PLC는 입력을 프로그램에 의해 순차적으로 논리 처리하고 그 출력 결과를 이용해 연결된 외부장치를 제어한다. ... 유접점에서도 이런 경우가 있을 수 있지만 PLC에 비하면 양호한 편이다.(2) 소규모 제어회로에서는 비경제적이다.PLC의 가격은 수만엔에서 수십만엔 정도이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.14
  • 스마트키즈센터 시장조사 및 기획
    ) 를 활용해 전등 켜기 , 음향 조절 등 실제 SW 적용을 학습함 초 ∙ 중학생에 방과후교실 (10-16 주 ) 과 캠프 (3 박 4 일 ) 형태로 SW 교육 제공 - 스크래치 ... ( 프로그래밍 언어 ), 러플 ( 로봇 ), makING 보 드 ( 회로 ) 등 교육과정 제공 2017 년까지 4 만명 이상의 학생에게 ` 주니어 SW 아카데미 ' 교육 제공 계획 ... 교육 제공 - 교육용 언어인 스크래치 를 통해 스스로 이야기를 구성하고 게임을 제작함 방학 SW 캠프는 5-6 학 년 대상 SW 응용 교육 프로그램도 같이 제공 - 아두이노 ( 회로
    리포트 | 19페이지 | 2,000원 | 등록일 2023.04.16
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment실험목표1 ... ][d] [dp]*/always @(posedge iCLK) // (clock 신호) positive edge 에서 이하 구문을 실행한다beginif (nRST) // nRST의 논리값이
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대