• 통큰쿠폰이벤트-통합
  • 통합검색(709)
  • 리포트(606)
  • 자기소개서(43)
  • 시험자료(36)
  • 서식(6)
  • 논문(5)
  • 이력서(5)
  • 방송통신대(4)
  • ppt테마(4)

"Logic Works" 검색결과 121-140 / 709건

  • 수능특강 독해연습 ( 각 문제 아래에 있는 structure 부분만 변명문제로 만들었습니다 )
    that the producers of mass media are industrial institutions essentially [ is driven / driven ] by the logic ... 적응하는 데 필요한 변화를 초래하여, 새로운 종의 발생을 일으킨다.[ Few / is an increasing level of diversity among the people you work
    시험자료 | 171페이지 | 8,000원 | 등록일 2021.07.01
  • 2021 삼성전자 CE/IM(DX) 영상디스플레이사업부(VD) 최종합 자기소개서
    수동소자와 능동소자의 동작 원리와 용량 산정법을 배웠고 OPAMP의 8가지 활용 방법, 전원회로, TTL, CMOS logic, ADC, DAC, EMI/EMC에 대한 발생 원인과 ... (과제 개요, 어려웠던 점, 해결방법, 결과 포함)디지털 회로 개발 엔지니어로 입사 후 Working Sample 단계의 보드를 Revision 진행 한 경험이 있습니다.REVISION을
    자기소개서 | 6페이지 | 3,000원 | 등록일 2022.10.15
  • ncs직업기초 기말고사총정리
    연산장치(arithmetic logic unit)- 제어장치의 지시에 따라 산술연산, 혹은 논리연산을 수행하는 장치2. ... 경력이란 = 이력서 또는 경력서- 경력(Career)은 일생동안 이루어지는 일(work)과 학습(learning) 및 사회활동의 총체적 누적을 의미- 경력은 일과 관련된 경험은 직위
    시험자료 | 73페이지 | 4,000원 | 등록일 2023.02.27 | 수정일 2023.06.11
  • 아주대학교 논리회로실험 실험7 예비보고서
    실험 부품1) 74HC00기기핀 배열Logic Gate74HC00InputOutputABO*************) 74HC76기기핀 배열Logic GateInputOutputbar ... full disclosure of pertinent limitations;7. to seek, accept, and offer honest criticism of technical work
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 주니어 리딩튜터 3 (section 1~6) 독해 시험지
    is practiced / in many countries, / including Korea.⑫ Other kinds of food, / like pizzthe fishermen worked ... intelligence (AI), / which has the ability / to learn from past experiences / and make decisions / based on logic
    서식 | 49페이지 | 1,800원 | 등록일 2023.09.07
  • 백전백승 기계, 자동차 업계 영문이력서 (커버레터 제외, 실제 특정부품 글로벌 1위 외국계 합격, 미국 한인 회사 합격)
    for EV car.( Application number: xxxxxxxxxx)Quality control for xxx partsFluent root-cause analysis, logical ... xxx parts engineering and Designing for automotive partsMechanical engineering background (education, work ... problem solving skills, and strong statistical data analysis.Co-work with BU division (sales, development
    이력서 | 5페이지 | 2,000원 | 등록일 2021.09.18
  • [WEST프로그램] 최종합격자 영문 이력서 (English Resume)
    Semester remaining)Relevant Courses: Computational Mathematics, Calculus1, Calculus2, Mathematical logic ... meticulous, sincere approach to tasks, I bring a unique blend of interpersonal skills and dedication to my work ... designerProgramming Languages/Software Used: Fusion360, Powerpoint, FigmaMarch 2023 – June 2023Project Description: Work
    이력서 | 3페이지 | 5,000원 | 등록일 2024.06.06
  • 아주대학교 논리회로실험 실험3 가산김.감산기 결과보고서
    full disclosure of pertinent limitations;7. to seek, accept, and offer honest criticism of technical work ... 실험 결과실험 11) 반가산기logic diagram결선도x=0, y=0x=0, y=1x=1, y=12) 진리표입력출력xyS(합)C(올림수)*************1013) 분석이 ... AND는 2개의 입력일 때 모두 1일 때 결과값이 1이됨으로 Carry를 표현할 수 있게 된다.실험 21) 전가산기logic diagram결선도x=0, y=0, z=0x=0, y=1
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로실험 - 제 2장 가산기 및 감산기 결과 보고서
    00116)x=0100, y=0111, ci=0 일 때 s=1101cf)보수 표현[그림 4] 4비트의 부호를 가지는 이진수 표현법 4)(4)Discuss how your circuit works이론값과 ... --component full_adderport(x,y,ci : in std_logic;s,co : out std_logic);end component;--1비트 전가산기를 설계해 ... _1164.all;entity bit4_add_sub isport(x,y : in std_logic_vector(3 downto 0);ci, m : in std_logic;s : out
    리포트 | 10페이지 | 1,000원 | 등록일 2014.08.15
  • Analysis essay on Aristotle’s argument
    Then he mentions Eudoxus’ logic of how praising works. ... of how praising works also supports his idea. ... The way that Eudoxus talks about pleasure and the usage of it support the logic of praise and the logic
    리포트 | 2페이지 | 1,000원 | 등록일 2018.12.02
  • 디지털 시계입니다.
    디지털 시계이구요 logicworks로 돌려야되요 ^^ 회로도와 시계입니다
    리포트 | 3,000원 | 등록일 2010.11.24
  • Doubt 다우트 (의심) 독후감 및 비평
    The work itself is a fantastic story, but it is worth thinking deeply about what the work says because ... there are many more things going on in real life in our society.In fact, based on the logic of the camp ... committed far more vicious influence-peddling scandals when they take power.They were locked in ideological logic
    리포트 | 3페이지 | 1,000원 | 등록일 2019.06.18
  • 아주대학교 논리회로실험 실험1 Basic Gates 예비보고서
    full disclosure of pertinent limitations;7. to seek, accept, and offer honest criticism of technical work ... GateNAND GateNOR GateNOTNOT기기74HC0874HC1174HC3274HC86핀 배열Logic GateAND GateOR GateXOR Gate3. ... 다른 말로 논리 게이트(logic gate)라고도 불리며, transistor 나 diode를 작은 반도체 웨이퍼 상에 가공한 집적회로(integrated circuit : IC)의
    리포트 | 5페이지 | 1,500원 | 등록일 2019.02.20
  • 선례의 활용 형태 및 공간구성-MVRDV 실로담
    SILODAM PRECEDENT’S UTILIZE, FORM SPACE COMPOSITION MVRDVI NDEX SUMMARY INTRODUCTION OF WORK DESIGN LOGIC1 ... ◆ Design Logic 주변과의 관계 , 대지의 이야기를 통해 건축물에 프로그램 , 시스템 적용 소통을 통해 아이디어를 도출하고 , 건물에 적용함 이용자의 요구에 맞춘 맞춤형 ... 만듦으로서 자유로운 동선을 만들고 , 입체적인 느낌을 줌 공간에 연속성 부여 내부 벽이 이동 가능해 형태에 가변성을 주며 융통성있는 공간을 만듦 ◆ SILODAM3 DESIGN LOGIC
    리포트 | 12페이지 | 1,500원 | 등록일 2017.11.28
  • BCD가산기 설계
    _1164.all;use ieee.std_logic_arith.all;use work.my_package.all;entity bcd isport ( a, b : in adder_value ... subtype result_value is integer range 0 to 2**result_width-1;end my_package;library ieee;use ieee.std_logic ... of bcd issignal r : result_value;signal c : std_logic;beginprocess(a, b)variable sum : integer;beginsum
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • 작가조사-마르셀브로이어
    with the highest level of feeling, The technician works with the highest level of logic. ” 예술가는 최고의 ... 건축설계방법 네르비의 영향을 받음 , 네르비와 합작 공간을 합리적으로 사용작품 소개 Introduction to a work MARCEL BREUER S t . ... Everyone needs diversity that can be easily used anywhere.”작품 소개 Introduction to a work MARCEL BREUER
    리포트 | 17페이지 | 1,000원 | 등록일 2018.10.16
  • Howard Garder and his Theory of Multiple Intelligences
    It allows people to work effectively with others. ... Using this logic, my mother uses this theory for marketing her music school. ... This can be used rhetorically, poetically and as a means to remember education.Logical/Mathematical:
    리포트 | 3페이지 | 2,000원 | 등록일 2017.04.03 | 수정일 2017.07.13
  • 단국대 현대사회와정보보호 과제 2
    aware of tools that have been published by expert hackers is enough.Viruses, worms, Trojan horses, logic ... If someone working at a bank steals the account information of the bank’s customer and demands compensation ... and energy attempting to break into other people’s information systems whereas novice hackers use the work
    리포트 | 2페이지 | 1,000원 | 등록일 2019.08.28 | 수정일 2019.08.29
  • 메이요의 인간관계론
    뢰스리스버거는 조직의 논리(행동논리)를 (1) 비용의 논리(logic of cost), (2) 능률의 논리(logic of efficiency), (3) 감정의 논리(logic of ... Roethisberger)등이 미국의 GE社(General Electric Company)의 전화기 조립공장인 호손공장(Hawthome Works)에서 실험했기 때문에 이를 호손실험
    리포트 | 5페이지 | 2,000원 | 등록일 2019.02.09
  • 아주대학교 논리회로실험 실험2 CMOS의 회로의 전기적 특성 결과보고서
    full disclosure of pertinent limitations;7. to seek, accept, and offer honest criticism of technical work ... 실험 결과실험 11) Inverter의 입출력 특성 확인logic diagram결선도실험 사진오실로스코프 화면2) 분석결선도대로 회로를 구성하였고 올바른 결과가 출력되었다. ... 측정구간들의 전압값들을 기록해서 데이터 시트와 비교해 볼 수 있었는데 기록을 하지 못해 아쉽다.실험 3-11) CMOS의 DC 특성 확인(Rn 계산)logic diagram결선도실험
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대