• 통큰쿠폰이벤트-통합
  • 통합검색(4,245)
  • 리포트(3,733)
  • 시험자료(326)
  • 방송통신대(134)
  • 자기소개서(37)
  • 논문(12)
  • 서식(2)
  • 노하우(1)

"비트 연산" 검색결과 121-140 / 4,245건

  • 2024 컴퓨터공학과 편입 전공면접 기출문제
    = 첫번째는 sign bit 그다음 3개 정수비트 그다음 4개 실 수비트 (1) 1¼을 2진수로 바꾸시오(2) -1⅛을 2진수로 바꾸시오(3) 01011011을 10진수로 바꾸시오 ... ▶이진트리의 전위연산자, 후위연산자 문제▶ 트리와 이진트리의 차이점은?▶ 이진 탐색, 보간 탐색, 순차 탐색 각 개념의 시간 복잡도는? ... ▶ 객체 지향 언어를 설명하고 특징을 쓰시오▶ 스택에서 연산 두 가지를 설명하고 스택이 사용되는 예를 말하시오▶ 알고리즘의 동적 프로그램이 무엇인지 설명하시오▶ 클래스와 상속을 설명하시오
    자기소개서 | 29페이지 | 30,000원 | 등록일 2023.11.15 | 수정일 2023.12.15
  • 디시설, 디지털시스템설계 실습과제 5주차 인하대
    따라서 ||연산 왼쪽의 연산으로 출력 값이 결정된다.8비트 comparator는 4bit comparator 두개를 이어서 계층적 코드로 구현하였다. ... 값을 저장해 넣었고 이로 인해 A1, B1 행렬이 초기화되어 모듈에서 작성한 연산이 진행된다.Waveform을 살펴보면 A와 B에 저장된 값을 4비트 단위로 virtual bus로 ... 우선 Iaeqb는 1이고 Iagtb, Ialtb는 모두 0이기 때문에 두번째, 세번째 assign문의 || 오른쪽 연산식은 모두 0이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • [중앙대학교 ] 전자전기공학부 마이크로프로세서 응용회로설계실습 결과레포트6
    입력한 수 그 다음 4비트연산하기 위한 역할을 한다. ... 질문 답변1) fnd_hexa_number()의 비트연산이 어떤 역할을 하는가?그림 3의 소스코드의 line 4의 비트연산 &는 입력된 숫자의 끝 4자리만을 추출할 수 있다. ... 즉, fnd_write 입력된 숫자를 16진수 단위로 표현하기 위해 4비트 단위로 분리시키는 역할을 한다.line 5의 shift 비트연산자 >>는 4비트 단위로 함수에 number를
    리포트 | 8페이지 | 2,500원 | 등록일 2021.04.20
  • 컴퓨터구조론 1장 연습문제 풀이 (개정5판, 생능출판, 김종현)
    212=4096bit, 문제에서 기억장치의 각 주소에 16비트씩 저장된다고 했으므로 4096*16=65536bit, 기억장치의 주소는 바이트 단위로 지정하므로 65536=8KByte ... 명령어에서 7비트연산코드로 사용된다 했으므로 27=128 CPU가 수행할 수 있는 연산의 종류는 최대 128가지이다.답: 128가지(2)과정: 16비트 명령어에서 오퍼랜드에 사용되는 ... 문제에서 제어버스가 따로 표시되지 않았으므로 시스템버스는 데이터버스 32비트+주소버스 16비트=48비트로 이루어져야 한다.답: 48비트1.5답:1.6(1) CPU- MILL(연산장치
    리포트 | 3페이지 | 1,500원 | 등록일 2021.04.28
  • Triple DES(c언어) report 보안 보고서 프로그램 프로그래밍 언어 보고서(고려대)
    이렇게 결정된 행과 열은 S-BOX 내의 특정 위치를 가리키며, 해당 위치의 값이 최종적인 4비트 출력으로 사용된다.이 S-BOX 연산은 암호화 과정에서 중요한 역할을 하며, 비선형 ... 이렇게 변환된 32비트 데이터는 추가적인 연산을 거쳐 암호화 프로세스의 일부로 사용된다.프로그램 데이터 설명_DES 알고리즘의 핵심요소BLOCK_SIZE: 이 값은 8로 설정되어 있으며 ... 만약 입력 파일의 이름이 "plaintext.txt"라면, 프로그램은 암호화 모드로 동작하며, "ciphertext.txt"인 경우 복호화 모드로 동작한다.Triple DES 연산:
    리포트 | 32페이지 | 4,000원 | 등록일 2024.01.19
  • 디지털시스템설계실습_HW_WEEK5
    잘 작동하는 것을 확인할 수 있었다. comparator module을 구현하면서 a,b 각 선언된 각각의 상위비트 부터 비교하여 크다, 같다, 작다로 분류하여 output이 출력되는 ... 이 모듈을 구현하면서 컴퓨터가 어떻게 곱셈연산을 하는지에 대해 알 수 있었고, 구현할 때 2차원이 이상의 array형태가 사용될 수 없다는 것을 알게 되었다.positive-edge ... cascadable comparator은 강의노트에 있는 4bit comparator를 연결하여 8bit ascadable comparator 구현하였고, 8bit일 때도 모듈이
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 컴퓨터 구조론 5판 4장 연습문제
    수행될 수 있어야 하므로 서브필드는 최소 두 개로 나뉘어야 하고, 마이크로-연산들의 수가 46개이므로 연산필드를 4비트와 5비트로 나누면 24 + 25 = 16 + 32 = 48개의 ... ) 마이크로 명령어 26비트에서 연산 필드 14비트와 조건 필드 3비트를 뺀 나머지 비트들이 주소 필드(ADF)이므로 26 – 14 – 3 = 9비트이다.(3) 주소 필드가 9비트이므로 ... 구성된 두 개의 연산 필드에 3 × 8 해독기를 각각 사용하여 16비트를 출력하게 만든 후, 출력된 16비트에 4비트씩 4 × 16 해독기를 각각 사용하여 64비트를 출력한다.4.6
    리포트 | 2페이지 | 1,000원 | 등록일 2020.01.02
  • [시스템프로그래밍] 8086 인텔 프로세서에서 사용되는 레지스터의 역할
    PF (Parity)는 연산 결과 1의 개수를 체크하여 세트하는 것으로, 연산의 결과에서 하위 8비트 중에 1로 남아 있는 비트의 개수가 짝수라면, 셋(1)이 되고, 홀수라면 리셋( ... )16비트2· 스택 포인터와 베이스 포인터인덱스 레지스터(Index Register)16비트2· 데이터의 주소 저장플래그 레지스터(Flag Register)16비트연산 결과의 ... AF (Auxiliary Carry) 상태비트는 뺄셈 시 보조연산을 위해서 존재하며, 연산 결과에서 하위 4비트에 자리올림 혹은 빌림(borrow)이 생겼을 때 설정된다. 10진 연산
    리포트 | 6페이지 | 2,500원 | 등록일 2020.05.18
  • 건국대학교 전기전자공학부 편입 합격자 학업계획서
    강의를 들으며 C언어는 전자공학이 바탕이 됨을 깨달았고 비트 연산자를 활용한 보수계산 프로그램을 만들어 디지털 공학 과목에서 사용해보는 등 유기적 사고를 할 수 있게 되었습니다.2. ... 지원동기 노력(1500)[반도체 전문가로의 첫 걸음]연산과 저장기능을 통합한 PIM 반도체를 연구하고 발전시키기 위해 건국대학교 전기전자공학부에 지원했습니다. ... 딥러닝 기반의 인공지능 연산량이 증가함에 따라 기존의 폰노이만 아키텍처가 아닌 CPU와 메모리의 결합을 통한 방식으로 병목 속도를 개선하는 반도체가 연구 중이라는 것을 알았습니다.
    자기소개서 | 2페이지 | 9,900원 | 등록일 2023.08.22 | 수정일 2023.08.30
  • 중앙대학교 전기전자공학부 편입 합격자 학업계획서
    강의를 들으며 C언어는 전자공학이 바탕이 됨을 깨달았고 비트 연산자를 활용한 보수계산 프로그램을 만들어 디지털 공학 과목에서 사용해보는 등 유기적 사고를 할 수 있게 되었습니다.신입생 ... 본 모집단위(학과/부)에 지원한 동기와 준비과정을 서술하시오(600)연산과 저장기능을 통합한 PIM 반도체를 연구하고 발전시키기 위해 중앙대학교 전기전자공학부에 지원했습니다. ... 딥러닝 기반의 인공지능 연산량이 증가함에 따라 기존의 폰노이만 아키텍처가 아닌 CPU와 메모리의 결합을 통한 방식으로 병목 속도를 개선하는 반도체가 연구 중에 있다는 것을 알았습니다
    자기소개서 | 2페이지 | 9,900원 | 등록일 2023.08.22 | 수정일 2023.08.30
  • 시스템프로그래밍(주소지정방식3가지나뉜다 각각의 주소지정방식은 메모리를 사용하는지 어떤 레지스터를 사용하는 지에 따라)
    ① 값 즉시 지정 (immediate Addressing Mode): 연산항에 레지스터나 기억장소의 주소가 아닌 8비트 도는 16비트 값을 직접 쓰는 방법. ... 연산항에 8비트 또는 16비트 값이 직접 오게 함.ⅱ. 예제[예제 소스 : 5, 6번 줄을 보면, 1010H와 203CH값이 데이터 그대로 전달한다.] ... 연산 코드를 제외하고, 남은 비트들만 주소 비트로 사용될 수 있기 때문에 직접 지정할 수 있는 기억장소의 수가 제한되어 있음. (즉시 주소 지정은 데이터 값의 범위가 제한적.
    리포트 | 9페이지 | 8,000원 | 등록일 2021.05.12
  • [A+] 중앙대학교 마이크로프로세서 응용회로 설계실습 결과보고서 5주차
    왼쪽이나 오른쪽으로 1bit씩 이동하는 경우에 대해 각각 설명하시오.shift는 bit연산이다. 예를 들어, 0010이라는 이진수를 left shift할 경우, 0100이 된다. ... 만약 shift 연산을 사용할 수 없다면, 어떤 연산을 활용하여 같은 효과를 낼 수 있는가?
    리포트 | 3페이지 | 1,000원 | 등록일 2024.01.14
  • 디시설, 디지털시스템설계 실습과제 11주차 인하대
    연산이 정상적으로 진행된다.32비트 CLA의 테스트 벤치를 살펴보자. ... (32bit)그림 SEQ 그림 \* ARABIC 8 : report analysis를 위한 코드(32비트 CLA의 경우 비트 수만 조정)결과분석 및 고찰우선 parameter를 사용해 ... 4bit CLA그림 SEQ 그림 \* ARABIC 1 : 모듈 코드(32비트의 경우 parameter만 수정)그림 SEQ 그림 \* ARABIC 2 : 테스트 벤치 코드그림 SEQ
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 컴퓨터일반 전자 계산기 구조
    ) 정보 표현의 단위비트: 2진수 n개 비트 개 표현니블: 4bit 묶음, 16자리 한자리 표현, 독립적 단위X바이트: 8bit 묶음, 저장단위 (기억용량)워드: CPU가 주기억장치 ... : 에러검출 O, 수정 X- 해밍코드: 에러검출 O, 수정, O (에러발생 위치 파악), 데이터 비트수 증가시 패리티 비트↑4) 수치형 데이터 표현(1) 정수10진 연산- 언팩: 연산X ... (1주소 1word단위) - 8개 주소, 32bit정보 표현 단위: 비트 < 니블 < 바이트 < 워드2) 수의 진법진법 변환 (2진수 3묶음 8진수, 2진수 4묶음 16진수)보수 (
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.21
  • 인하대_컴퓨터네트워크_과제
    CRC계산방법CRC의 계산 방법은 모듈로 – 2 연산을 사용하며 이는 자릿 수 만 맞으면 XOR연산을 수행한다.CRC에는 생성코드, 데이터코드, 나머지코드가 있다. ... data bit, p : check bit형태1*************1만약 데이터 비트가 8이라면 해밍 코드를 만들기 위한 최소 p는 4 이므로 최종 전송 비트는 위 표와 같이 ... 이더라도 데이터 비트에 0을 최고차 수 3만큼 붙였으므로 데이터비트에 11이 아닌 011 즉, 3bit를 붙여야 한다.종류CRC의 종류는 대표적으로 CRC-16, CRC-32, CRC
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.03
  • 시립대 전전설2 Velilog 예비리포트 4주차
    가산기XOR 게이트를 이용한 감산기4비트 감산기실험 전 응용 과제 preview1-bit Comparator4-bit Comparator참고 문헌1. ... 올림 입력 비트를 추가시킨 회로).(3) 4비트 가산기 : 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자 ... Eq, abg, alb를 제대로 출력하기 논리연산자를 사용하여 묶었다. Eq는 xor논리연산자를 사용하였고 agb는 or논리연산자와 xor 논리연산자를 사용하였다.4비트 비교기란?
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대 전전설2 Velilog 결과리포트 4주차
    Eq는 xor논리연산자를 사용하였고 agb는 or논리연산자와 xor 논리연산자를 사용하였다실측결과a[3:0], b[3:0]을 버스로 설정해주었고 eq, agb, alb를 출력으로 설정했다.결과4bit ... 올림 입력 비트를 추가시킨 회로).(3) 4비트 가산기 : 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자 ... 작으면 alb가 1이 되는, 즉 두 입력의 값들의 관계를 나타내는 회로이다. 1 Bit 비교기와 4 Bits 비교기 모두 gate primitive모델링 방법을 사용하였다. 4비트
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 2진수, 8진수, 16진수와 논리회로의 상관관계를 설명하시오.
    비트(bit)는 메모리 내의 하나의 저장 단위로 작용하며, 이를 통해 대량의 데이터를 효율적으로 저장하고 접근할 수 있습니다. ... 4비트를 하나의 단위로 묶어 표현하는 방식이며 데이터의 가독성을 높이고 프로그래밍 과정을 간소화하는 데 기여합니다. ... 논리 게이트는 AND, OR, NOT 등의 기본 연산을 수행하여 복잡한 연산을 단순화하고, 이를 통해 컴퓨터가 다양한 작업을 수행할 수 있게 합니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.11.23
  • 처리 속도에 따른 인텔 계열 프로세스의 변천사
    이는 4비트 프로세서로, 초당 수천 번의 연산을 수행할 수 있었다. ... Pentium은 32비트 아키텍처를 기반으로 하였으며, 초당 수백만 번의 연산을 수행할 수 있었다. 이는 이전 세대 프로세서에 비해 획기적인 성능 향상이었다. ... 앞으로도 인텔 프로세서는 하였다. 4004는 4비트 프로세서로, 당시로서는 획기적인 기술이었다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.07.04
  • 시립대 전전설2 A+ 4주차 예비레포트
    _4bit.vSimulationTcl Console3) 실습 3full_adder2_4bit.vtb_full_adder2_4bit.vSimulation4) 실습 4four_bit_adder.vtb_four_bit_adder.vSimulationTcl ... 실험 목적Veriolog HDL 언어를 사용한 Combinational Logic 설계 및 실험설계한 로직을 시뮬레이션하기 위한 테스트 벤치의 작성 및 장비 동작 실험연산 로직, 비교기 ... console5) 응용과제four_bit_comparator.vtb_four_bit_comparator.vSimulationTcl console4.
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대