• 통큰쿠폰이벤트-통합
  • 통합검색(649)
  • 리포트(592)
  • 자기소개서(30)
  • 방송통신대(12)
  • 시험자료(9)
  • 논문(6)

"디지털 시계 회로도" 검색결과 121-140 / 649건

  • 물리학실험 저항의 연결
    실험 목적:저항의 색 코드와 디지털 멀티미터(digital multimeter) 사용법을 익히고, Kirchhoff 법칙을 이해하여 전기저항의 직렬 및 병렬연결 각각의 특성을 확인한다.실험 ... 한계 값 설정( ~100mA)68Ω 저항과 전류계와 전압계를 연결한다.전원 스위치를 켠 뒤 을 기록한다.전압조정 손잡이를 반 정도 돌린 후 을 측정하여 기록한다.전류조정 손잡이를 시계 ... 추정한다.CV 모드에서 을 측정하여 기록하고, 이보다 낮은 다른 전압에서 한 번 더 전류와 전압 를 측정한다.실험3 – 직렬연결전원의 스위치가 꺼진 상태에서 주어진 저항으로 직렬회로
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.28
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    아래 그림은 7segment의 모식도이며, 각 LED가 a~g, dp로 할당된 것을 알 수 있다. ... 배경이론(Background)1)digital clockFPGA를 이용하여 실습하고, 이 과정에서 7segment를 이용하여 숫자를 나타내게 된다. ... 스탑워치, 카운터, 날짜표시등 우리의 일상에서 흔히 볼 수 있는 것들이 어떻게 논리회로적으로 코딩되고, 알고리즘화 되는지 알 수 있었다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 환경미생물학3공통) 혐기성수소발효와 미생물연료전지를 비교하여 서론, 본론, 결론으로 나누어 논하시오0K
    MFC는 소형 전기장치의 전원으로의 활용 가능성이 매우 높은데, Rahimnejad와 연구자들은 적층 MFC를 사용하여 100개의 LED 등과 1개의 디지털시계를 약 2일 동안 작동시킬 ... 도입하거나 기존의 대사회로를 증폭/제거/변형시켜 세포나 균주의 대 음극에서 양극으로 전자가 이동한다. ... 그렇기 때문에 물리적 방법이나 화학적 수단에 의해 환경을 정화하는 돈이 많이 들어가기 때문산을 만들기 때문에 하수도의 콘크리트 벽이 부식된다.이 슬러지소화 과정은 소화온도(약40℃)
    방송통신대 | 11페이지 | 9,900원 | 등록일 2020.11.19
  • 메인보드
    접근 속도가 빠르지만 구조가 복잡하여 공간을 많이 차지하므로 집적도를 높이기 어려워 가격이 비싸고 대용량으로 제작하기가 어렵다 .RAM 이란 ? ... 메인보드에 포함되는 컴퓨터 부품들은 중앙처리장치 (CPU), 마이크로프로세서 , 보조프로세서 ( 옵션 ), 메모리 (RAM), 바이오스 , 확장슬롯 , 접속회로 등이다 . ... : 카드 연결 CPU 소켓 : PC 의 두뇌 담당 ( ★ ) 보조전원 포트 : 전원 공급 보조역할 ATX(Advanced Technology Extended) 12 시를 기준으로 시계방향으로
    리포트 | 46페이지 | 5,000원 | 등록일 2024.05.21
  • SK하이닉스 SW(소프트웨어) 직군 합격 자기소개서
    따라서 SW 과목뿐만이 아니라 HW 구조를 알기 위해 지속적으로 노력했습니다.맨 처음 논리회로디지털시스템 설계 시간에 Verilog 를 이용하여 밥솥, 시계 등을 설계하는 프로젝트를 ... 이런 방법은 피로도가 높고 목에 무리가 많이 가기 때문에 저는 다른 방법들을 생각해 보았습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • [일반물리학실험]Tracker 프로그램을 사용한 단조화 운동
    용수철에 매달린 물체, 진자, RLC 전기회로, 고체 물질이나 분자 내에서 원자의 진동 등은 근사적으로 단조화 운동으로 기술될 수 있기 때문이다.이 실험에서는 질량, 용수철 상수 등 ... 실험 재료1) 디지털 카메라, 트랙(track), 수레, 저울, 용수철, 도르래, 수평계 실, 추와 추걸이, Tracker 프로그램2) Tracker 프로그램을 사용하여 시간에 따른 ... 마지막으로 실험 2에서도 주기를 측정할 때, 시간 측정을 눈으로 대충 어림짐작 잡아 했던 만큼 초시계의 시간을 읽는 타이밍에서도 많은 오차가 발생하지 않았나 싶다.단조화 운동을 하는
    리포트 | 7페이지 | 2,500원 | 등록일 2022.10.29
  • [수업자료][정보][컴퓨터] 정보사회와 정보 과학에 관한 PPT(프레젠테이션) 자료입니다. 유용하게 사용하시기 바랍니다.
    표현할 수 있음을 증명 스위치를 이용한 디지털 회로 이론을 만듦 정보 이론의 아버지라고 불림 폰 노이만 기억 장치에 명령어와 데이터를 저장하여 처리하는 프로그램 내장 방식의 컴퓨터 ... 활용하여 유기적으로 정보를 수집 , 공유하며 상호 작용하는 지능형 네트워크 기술 및 환경 정보 과학의 지식과 기술 2※ 스마트 기기와 사물 인터넷의 융합 웨어러블 컴퓨터 옷이나 시계 ... 빠르고 용이하게 구현 가능 건설 , 자동차 , 항공 · 우주 , 방위 산업 , 의료기 등 다양한 산업 분야에서 활용 정보 사회와 정보 과학 1나노 기술 10 억 분의 1 수준의 정밀도를
    리포트 | 32페이지 | 3,000원 | 등록일 2022.04.23
  • 성인간호학 심혈관계 진단 사정
    이를 통해 지속적으로 혈역학적 지표와 호흡기 지표를 관찰할 수 있다.원격측정법영구전자회로 심장 모니터링 외에도 원격측정법으로 심전도를 지속적으로 관찰할 수 있다. ... 대부분의 송신기 배터리는 매 24~48시간마다 바꾸어 준다.- 휴대용 심전도전극을 lead선에 연결해 휴대용 기록기에 삽입해 심전도를 디지털 저장장치에 기록한다. ... MRA는 컴퓨터와 강력한 자기장을 이용하여 심장과 대혈관을 영상화하기 때문에 대동맥질환, 심장근육질환, 상복부질환뿐만 아니라 선천성 심장병변을 진단하는 데 유용하다.환자는 보석류, 시계
    리포트 | 7페이지 | 1,500원 | 등록일 2023.04.09
  • 한양대학교 e-러닝 문화기술과 사회변동 중간고사 강의 교안 타이핑
    지금 현재 발전 속도는 그 어느때보다도 빠름뇌과학자 샘해리스 TED 발표 : 로봇의 전자회로는 , 인간의 생화학적 회로보다 100만배 더 빠름 발표. ... 새롭게 나타난 기억능력의 쇠퇴의 모습디지털 치매빨리 기억하는 능력보다 빨리 찾아내는 능력이 중요해 짐기억 자료찾기사유 자료 내려받기디지털 시대의 사유에 대한 새로운 패러다임 필요소유의 ... 창업자는 아님빌게이츠 – 포인트 카리스마 있는 소신과 일관된 경영원칙1997 애플 광고2크리스마스 영화 E.T의 흥행 – E.T를 바탕으로 한 게임 대량 발매 – 낮은 완성도로 인해
    시험자료 | 58페이지 | 3,800원 | 등록일 2019.10.15 | 수정일 2020.03.06
  • SK그룹 합격 자소서(플랜트, 전기전자전공)
    수강한 2학년 전공과목 중 '전기전자기초실험'에서 과제로 '디지털 시계' 제작을 요구하였습니다. ... 도서관에서 자료를 쉬이 찾을 수 있었고, 회로도 또한 발견하여 단순히 하드웨어를 제작하면 완료할 수 있는 과제였습니다. ... 이를 해결하기 위해 타이머와 인터럽트 기능을 이용하여야 했는데, 당시엔 시간이 부족하고, 하드웨어적 이해도가 낮았기 때문에 이 기능을 사용할 수 없었습니다.이를 해결하기 위한 방안으로
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.02.15 | 수정일 2021.02.24
  • A+ 2021 중앙대학교 전기회로설계실습 결과보고서 01 저항, 전압, 전류의 측정방법 설계
    측정 회로를 설계하고 실습을 통하여 확인한다.2. ... 서론Digital Multimeter를 이용한 저항(2-wire 측정법, 4-wire 측정법), 전압, 전류의 측정방법을 익히고 DC Power Supply의 사용법을 익힌다. ... 반올림하여 유효숫자 3자리까지 기록하라.저항 측정값[kΩ]오차율[%]9.905-0.950(b) 평균값을 구하고 몇 %의 저항이 오차를 만족하는지 한 눈에 알 수 있도록 오차의 예상 분포도를
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.01
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    실험 목적본 보고서에서는 베릴로그 HDL을 사용하여 7-세그먼트와 피에조 등 주변 디지털 장치 제어를 설계 및 실험한다. 7-세그먼트와 피에조 등의 컨트롤러를 행위수준 모델링으로 구현하고 ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다. 7-세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 ... 피에조의 일반적인 주파수 특성에 의해 약 10㎑ 이상의 주파수에서는 소리를 내지 않으며 같은 전압 레벨에서도 주파수에 따라 소리의 강약이 달라지는 특정을 가지고 있다.음계옥타브234도130.8128
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • [기계공학][실험보고서][자동제어][Qube-Servo moter][Labview][DC Motor][Encoder][Filter]
    또한 양수 0.5V를 입력했을 때, Disk는 시계방향으로 회전하는 것을 확인하였다.Ⅱ. ... Kifchoff’s voltage law : 공급한 전압 = 각 회로 소자에서 소비되는 전압( ∵ Lm 매우 작으므로 무시 )? 토크와 각속도 관계 : ( )? ... Qube-servo 2저가형 제어플랫폼으로 Labview를 기반으로 알고리즘을 구현하고, MATLAB/SIMULINK를 기반으로도 알고리즘 구현이 가능하다.2) EncorderUS Digital
    리포트 | 11페이지 | 10,000원 | 등록일 2019.11.29 | 수정일 2024.04.11
  • 일반물리학실험 보고서 '단조화 운동'(A+) - 부산대학교 기계공학부
    실험 기구 및 재료디지털 카메라, 트랙(track), 수레, 저울, 용수철, 도르래, 수평계, 초시계, 실, 추와 추걸이, Tracker 프로그램● Tracker 프로그램을 사용하여 ... 용수철에 매달린 물체, 진자, RLC 전기 회로, 고체 물질이나 분자 내에서 원자의 진동 등은 근사적으로 단조화 운동으로 기술될 수 있기 때문이다.● 이 실험에서는 질량, 용수철 상수 ... 기준 눈금자는 줄자 또는 자를 사용해도 되고 거리를 알고 있는 두 점으로 표시해 두어도 된다.[그림 2] 단조화 운동 실험 장치4.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • 파킨슨 치매 사례 연구
    (연필, 시계)233단계 명령“제가 지금 말씀드리는 것을 잘 들으시고 말씀드린 대로 해보세요.” ... 01장소우리가 있는 이곳은 무슨 도/특별시/ 광역시 입니까?01여기는 무슨 시/군입니까?01여기는 무슨 구/동/읍/면입니까?11우리는 지금 이 건물의 몇 층에 있습니까? ... (Magnetic resonance Imaging, MRI)자석으로 구성된 장치에서 인체에 고주파를 쏘아 신체부위에 있는 수소원자핵을 고명시켜 각 조직에서 나오는 신호의 차이를 디지털
    리포트 | 22페이지 | 2,000원 | 등록일 2022.05.07
  • 팀 프로젝트 디지털시계 설계 및 구현
    시계를 한번 만들어보고 싶어서 이 주제로 정하게 되었다. 회로를 구성하면서 회로도를 보고 만들었는데, 우선 결론부터 말하자면 우리가 만든 회로도가 작동하지 않았다. ... . 96동작 사진Page. 107프로젝트 간 느낀점Page. 11-2-□ 작품소개 및 목적소 개주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는대표적인 순차회로의 ... 디지털시계에는 7세그먼트를 여러개 사용하는데 각 세그먼트마다 저항을 일곱개씩 달아줘야해서 조금 번거로웠다.
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 디지털시계 프로젝트, digital clock 프로젝트
    Digital Clock의 하드웨어적 구성과 소프트웨어적 구성을 분석하여 동작원리를 알아본다.(2) 실습 목표디지털시계는 동일한 회로로 4가지의 다른 시스템을 보여준다. ... 또한 AT80S51에서 내부의 클럭을 사용하기 위해 PIN 18, 19에 발진기 회로를 연결하였다.Digital Clock 회로도(2) 하드웨어 소자① AT89S51(Dual In ... 실험(4)프로젝트 보고서디지털시계1.
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • [MaxPlus] 디지털 시계 회로 설계
    Digital Clock Circuit를 설계함으로서 수업중 배운 내용의 이해도를 파악할수 있다.☞ 3.2 10진 카운터 (초,분의 일의 자리)디지털 시계의 구성은 00시 00분 00초 ... 목표가정에서 흔히 볼 수 있는 Digital Clock을 JK/FF과 논리 게이트를 이용하여 회로를 설계할 것이다. ... 상태천이표와카르노맵에 의한 식의 간략화, 카운터, 동기 논리 회로 설계 등 한학기동안 배운 내용을 총 동원하여 Dgital ClockCircuit를 설계에 적용 할 것이며, 시계
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.13
  • [평생교육원,학점은행제] 디지털 공학 개론 과제
    카운터의 응용으로 디지털시계회로도를 완성해 가는 과정을 설명 하시오.* 디지털시계의 블록 다이어그램발진회로 → 분주회로 → 카운터회로 → 디코더 회로 → 표시회로* 발진회로디지털시계에 ... 얻어진 구형파를 이용하여 디지털시계의 기본단위인 1초를 나타내기 위한 1Hz주파수를 얻는 회로주파수 카운터임의의 주기적인 파형의 주파수를 측정하는 디지털 기기* 4가지 기본형 레지스터의 ... 과 목 명 :디지털 공학 개론? 학 번 :? 학습자이름 :1.
    리포트 | 6페이지 | 5,000원 | 등록일 2019.02.01 | 수정일 2019.02.15
  • 기초전자회로실험 - 디지털 시계
    1.조 구성원2.Project명디지털 시계3.Project goal디지털시계의 논리회로를 이용해 회로도에 대한 기본적인 지식습득과 직접 회로를 구성함으로서 차후에 보다 복잡한 회로구성에 ... 도움이 되고자 한다.4.Main Subject & Basic Theory디지털 시계의 구성디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to ... /Start 스위치디지털 시계의 전체 동작을 제어하기 위한 회로이다.초기화 회로전원이 공급될 때 디지털 시계를 리셋시키거나 또는 디지털 시계가 동작 중에 있을 때 스위치를 이용하여
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대