• 통큰쿠폰이벤트-통합
  • 통합검색(4,175)
  • 리포트(3,713)
  • 자기소개서(224)
  • 시험자료(146)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지털 논리회로" 검색결과 121-140 / 4,175건

  • 홍익대_디지털논리회로실험_5주차 예비보고서_A+
    디지털 논리실험 및 설계 5주차 예비보고서실험 준비1.1 4.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... 이렇게 하는 이유는 회로가 복잡해짐에 따라 디버깅이 중요해지기 때문이다. ... 이를 ∑ = (A⊕B으로 구현했다.1.2 응용 실험 (1), (2)의 회로를 구현하시오.응용실험(1)전가산기를 두개 이용하여 두 자리 이진수 덧셈기를 구현했다.
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • 서강대학교 디지털논리회로실험 7주차 결과보고서
    배경이론 및 실험방법Counter는 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털 논리회로의 응용 D/A, A/D Converter/반도체 기억장치
    디지털 논리회로의 응용 – D/A, A/D Converter/반도체 기억장치메인 레포트실험 목표디지털-아날로그 변환기와 아날로그-디지털 변환기에 대해 이해할 수 있다.디지털 논리 소자를 ... 이 경우도 각각의 회로를 테브냉 등가를 이용해서 계산하면 출력값이 나오게 된다.아날로그 디지털 변환기 (A/D converter)아날로그 디지털 변환기는 아날로그 신호를 디지털 신호로 ... 만약 구간을 더욱 작게 나눠서 측정한다면 모든 디지털 신호에 해당하는 전압구간을 측정할 수 있을 것이다.RAM/ROMRAM 실험에서는 RS래치 회로를 이용하여 회로를 구성하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.03.03
  • 디지털 논리회로 실험 2주차 기본 논리 게이트 (NAND, NOR, XOR Gate) 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : NAND, NOR, XOR GATE 및 응용소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 ... 지금까지 실험한 기본 논리 게이트들의 핀의 위치는 대부분 핀 1,2번이 입력값이고 핀 3번이 출력값이었는데, 4.1.2 실험 때 쓰이는 NOR 게이트는 다른 논리 게이트들과 달리 3번 ... 결론이번 실험에서는 기본 논리게이트 중 NAND, NOR, XOR 게이트 각각의 진리표의 진위를 확인해보고, 이 3가지 게이트들의 각각의 특성들을 파악할 수 있었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.04.21
  • 서강대학교 디지털논리회로실험 9주차 결과보고서
    1. 실험목적1) 메모리 소자들의 동작 원리와 활용 방법을 이해한다.Read Only Memory(ROM)Random Access Memory(RAM)2) Address decoding의 개념과 구현 방법을 이해한다.3) ROM을 이용해서 임의의 기능을 수행하는 com..
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 4주차 결과보고서
    배경이론 및 실험방법Multiplexer는 n개의 입력신호로부터 1개를 선택해서 출력에 연결해주는 Digital Switch이다.일반적으로 n개의 입력을 갖는 b-bit multiplexer의 ... device을 통해 High-impedance상태를 오실로스코프로 관찰하고 그 기능에 대해 알아본다.Multiplexer, Exclusive-OR, Parity circuit는 FPGA로 회로
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 홍익대_디지털논리회로실험_4주차 예비보고서_A+
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.멀티플렉서는 여러가지의 입력 가운데 하나를 골라 그대로 출력하지만 부호기는 ... 그러므로 S0, S1 모두 1일 때 D3 값이 출력되는 것을 볼 수 있다.이 회로의 진리표는 다음과 같다.기본 실험(1)과 같은 것을 볼 수 있다. ... 이를 표로 정리하면 다음과 같다.1.6 응용 실험 (2)가 4-to-1 멀티플렉서로 동작하는 원리를 자세히 서술하시오.응용 실험(2) 회로에는 3-INPUT AND 게이트가 총 4개
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 논리회로 8장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 22페이지 | 3,000원 | 등록일 2021.04.28
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털 논리회로 실험 8주차 D-FlipFlop 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다. ... -D 플립플롭InputOutputDCLKQ0falling01falling1(a) 논리회로 (b) 진 리 표(C) 타이밍 선도그림 5-6 SR 플립플롭을 이용한 하강 에지 트리거 D
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.22
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    .④ Parallel-in, parallel-out병렬로 입력되어 저장되는 모든 입력 데이터를 보여줄 수 있는 출력 신호를 갖는 회로이다. ... 지연시키는 역할을 한다.② Serial-in, parallel-out저장되는 모든 bits에 대해 개별적인 출력이 존재하며, 직렬데이터를 병렬데이터로 변경 시켜주는 역할을 하는 회로이다 ... 구조에서 flip flop의 입력부분에는 2-input MUX가 사용되어 load와 shift의 선택에 따라 데이터를 선택 하게 되며, 병렬데이터를 직렬데이터로 변환하는 기능을 하는 회로이다
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 6주차 결과보고서
    배경이론 및 실험방법Sequential logic circuit(순차논리회로)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 의미한다. ... Latch와 flip-flop은 순차논리회로 설계의 기본이 되는 function block들이다. ... 회로와 function table는 다음과 같다.S만 HIGH일 경우에는 Q만 HIGH가 되고, R만 HIGH경우에는 /Q만 HIGH가 된다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 2주차 결과보고서
    함수가 최소화 될 수 있음을 확인한다.4) Wired OR logic의 특성과 활용 방법을 익힌다.5) FPGA를 이용하여 간단한 논리 회로를 구현하고 동작을 확인한다.2. ... TTL logic gates의 동작 방법을 익힌다.2) Logic level과 noise margins, 그리고 fanout에 대해 이해한다.3) Gates를 이용하여 구현된 임의의 논리
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.02
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    디지털 논리실험 및 설계 3주차 예비보고서실험 준비1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.기본 실험 (1)의 회로는 입력 A,B를 받아 Y0, Y1, ... 다음과 같다. n개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n제곱 개의 출력 회선 중 그 숫자에 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보내는 논리 ... 회로.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_9주차 예비보고서_A+
    디지털 논리실험 및 설계 9주차 예비보고서실험 준비1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 의 ... Q1, Q2는 각각 Q2, Q3에 출력된다.2.2 기본실험 (2)기본실험(1)에서 만든 회로의 원리대로 8개의 D Flip-flop을 이어 붙인 것이 74164 칩이다. ... Q3라고 할 때 초기 값이 0100이라면 CLK가 0에서 1로 올라갈 때 1010으로 출력이 바뀐다.1.3 응용실험 (2)에서 초기화 하는 과정을 자세히 서술하시오.응용실험 (2)의 회로
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_7주차 예비보고서_A+
    디지털 논리실험 및 설계 7주차 예비보고서실험 준비1.1 S-R Latch와 - Latch의 동작에 대해 설명하시오.Latch란 1비트의 정보를 저장할 수 있는 회로이다. ... 한번 눌렀다고 생각할 수 있지만 채터링이 발생하면 입력이 여러 번 된 것으로 간주되어 결과가 나타난다.실험 결과2.1 기본실험 (1)모두 0일 때 둘 다 1이 출력되지만 이것은 위 회로에선
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_6주차 예비보고서_A+
    디지털 논리실험 및 설계 6주차 예비보고서실험 준비1.1 ALU 74181의 datasheet을 읽고 네 자리 이진수의 덧셈을 74181을 이용하여 어떻게 구현할 수 있는지 설명하시오.ALU
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 서강대학교 디지털논리회로실험 3주차 - Decoders and Encoders
    이 간단 하지만 큰 실수를 통해 회로 실험에서는 하나하나 꼼꼼히 실험 환경을 준비해야 한다는 것 을 알 수 있었다.
    리포트 | 16페이지 | 1,500원 | 등록일 2024.08.17
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    Subtractor(감산회로)는 어떤 수의 2’s complement를 더함으로 구현하거나 subtractor의 구현을 통해 수행할 수 있다.ALUs(연산회로)는 여러 연산 및 논리 ... 배경이론 및 실험방법비교회로(Comparator)는 두 binary 수의 비교를 통해 판단하는 회로이다.Adder(가산회로)는 두 개의 1-bit를 더해 2-bit의 합을 출력한다. ... Full-adder회로는 다음과 같다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 10주차 결과보고서
    R-2R ladder circuit를 이용해 DAC를 나타낼 수 있는데, 회로는 다음과 같다.이 회로는 R과 2R의 크기를 갖는 저항들을 사다리형태로 배열하고 입력되는 4-bit 디지털 ... 배경이론 및 실험방법DAC는 이진수 체계로 표현된 디지털 신호를 상응하는 아날로그 전압으로 전환하는데 사용되는 소자로, 이 소자는 집적회로의 형태로 공급되고 있는데, 그 기능을 파악하기 ... 실험목적1) Digital-to-analog 변환(DAC)- Digital 신호를 analog 신호로 반환하는 회로의 동작 원리를 이해한다.- DAC IC(DAC0808)의 구동 방법을
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대