• 통큰쿠폰이벤트-통합
  • 통합검색(237)
  • 리포트(215)
  • 자기소개서(11)
  • 시험자료(5)
  • 논문(4)
  • 서식(2)

"Schematic Design" 검색결과 101-120 / 237건

  • 연세대학교 컴퓨터구조 노원우교수님 프로젝트2 Single-Cycle MIPS Implementation
    -control singlemodule control_single(opcode, RegDst, Jump, ALUSrc, MemtoReg, RegWrite, MemRead, MemWrite, Branch, ALUOp, BranchSrc);input [5:0] opcode..
    리포트 | 14페이지 | 3,500원 | 등록일 2013.09.10 | 수정일 2019.07.13
  • 2-Stage CMOS OP-Amp Design(홍익대, Hspice이용, 조건 만족시키기)
    ObjectiveDesign a two-stage CMOS OP-Amp.Circuit Description of the Sample Schematic:- 1’st Stage: Differential ... Report Submission1) Detailed Design Report includinre the W/L sizes are not optimized. ... Design Target SpecificationsVdd = 1.8 V, Vss = 0 V, CL= 0.2 pF, Minimum Channel Length = 0.18 μm?
    리포트 | 21페이지 | 3,000원 | 등록일 2017.03.08 | 수정일 2020.09.27
  • 연세대학교 전자회로 1차 프로젝트
    level(max-3))A2의 y값은 36.617dB 즉, 설계한 Amp의 Gain을 나타내며, A1의 x값은 y값(gain)이 최대에서 3보다 작아진 33.617dB를 가질 때의 다.Design ... C _{L} =0.1pF◎Problem1DC gain>40dB3dB BW>20MHZOutput voltage swing>1V1.Schematic Diagram2.DC gain/3db ... 0.6VR _{1} =200 OMEGAM _{6} ,`V _{b1}을 이용하여 0.5mA이하의 current source를 만들고 current mirroring ratio=1:11.Schematic
    리포트 | 10페이지 | 2,000원 | 등록일 2016.12.06
  • VHDL을 이용한 논리 게이트 실습
    시켜주고 집적도가 좋아지게 해준다.(3) QuartusⅡ의 설계과정QuartusⅡ를 이용하여 설계를 하는데 있어 몇 가지 단계로 구분을 할 수가 있다.첫 번째 단계로 디자인 입력(Design ... 먼저 Schematic방식을 선택해주자.Schematic으로 고르면 다음과 같이 점자 화면이 뜨게된다. ... Schematic은 심볼을 사용하였지만 VHDL은 언어로 기술한다.
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 제어공학실험 ORCAD 다루는법 발표 피피티자료
    Design Tool, 회로작성 - PSpice A/D : 아날로그 및 디지털 회로 시뮬레이션 - OrCAD Layout : PCB 디자인 관련 - OrCAD PCB Editor ... 시뮬레이션 ) 용 프로그램 PSpice (Professional Simulation Program with Integrated Circuit Emphasis) - Capture : Schematic
    리포트 | 21페이지 | 1,500원 | 등록일 2015.01.06
  • 계획설계단계의 체크사항
    계획설계단계의 체크사항계획 설계(Schematic Design)기획단계에서 협의된 건축주의 주거 계획 방향의 현실적인 해결과 문제점의 대안을 기본 도안을 바탕으로 구체화하는 시기이다
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.28 | 수정일 2018.04.11
  • Polydiacetylene(PDA) for biosensors
    Molecular Design and Self-assembly of Polydiacetylene for Biosensors and Sensor Arrays (Doctoral dissertation ... microscopic images of PDA flows with 30, and 10 mM of α -CD C: Interaction between PDA and α - cyclodextrin Schematic
    리포트 | 21페이지 | 4,000원 | 등록일 2016.06.03 | 수정일 2016.06.05
  • 결과보고서(5bit Integer Divider by 3 and the A through J)
    Flow chart(1) Schematic configuration(2) Making Bread board(3) Failure teaches success!! ... Retry(4) Continued failure … Eventual success(5) Finally, PCB design 3. ... Design Object(1) Divide a 5-bit binary number by 3 to produce a 4-bit binary quotient and 2-bit remainder
    리포트 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • 은행창구 제안보고서(세그먼트)
    counter when called by a number of persons waiting to be displayed is reduced to one number.Flow Chart Schematic ... Need goods ▪ Theory ▪ Design Problems and Ieda ▪ Design Bank Waiting issuance System ▪ Multisim simulationDesign ... Eventually the design does not hold. - Using Buffer, Nor gate!!!
    리포트 | 16페이지 | 2,000원 | 등록일 2012.11.01
  • 건축 설계 단계와 업무
    -설계조건을 검토, 정리할 때 항상 완성시킬 구성과 형태를 고려하여 협의.2.계획설계(Schematic Design : SD)*정의-설계의 기본목표와 방향을 수립하는 설계의 가장 중요한 ... 방식의 차이점을 나타나고 있으나 2003년부터 건설교통부의 새로운 규정에 따라 점차 건축 설계 단계가 공통적이고 체계적인 단계로 구축하게 되었다.1.기획설계(Preliminary Design ... -계획 설계의 프리젠테이션.3.기본설계(Design Development : DD)*정의-계획설계에서 설정된 기본구상이 실시설계에 반영되도록 중요한 사항을 정의, 설명하여 실시설계를
    리포트 | 5페이지 | 1,500원 | 등록일 2008.01.24
  • 디지털논리회로 FSM 설계 유료 빨래방 구동회로
    Schematic ( Continued )Reset단에 AND Gate를 하나 더 추가함Design Objects of Top Level SymbolDesign Objects of ... schematic ( View of RTL Schematic)그래서 Xilinx Tool에서 View of RTL Schematic으로 확인해 본 결과 위의 그림과 같이 Block ... 이 때 Reset신호는 Operation신호가 출력되고 나서 다음 Rising Edge때에 입력되도록 Next State인 Q1과 Q0에 연결하였다.회로 Schematic3_완성된
    리포트 | 13페이지 | 3,000원 | 등록일 2013.10.28
  • 반가산기 및 전가산기 결과 보고서
    실험내용 및 결과2.1 실험1- 반가산기(Design Schematic) -2.2 실험2- 전가산기(Design Schematic) -2.3 실험3- 반가산기(Source Coding
    리포트 | 15페이지 | 1,500원 | 등록일 2009.05.03
  • 감산기 결과보고서
    실험 1 (Design Schematic)- 반감산기(half-subtracter ; H.S) -1.1. ... 실험 2 (Design Schematic)- 전감산기(full subtracter ; F.S) -2.2.
    리포트 | 17페이지 | 1,500원 | 등록일 2009.05.03
  • Prediction of Axial Length Using Schematic Eyes in Emmetropia
    대한시과학회 Hyeong-Su Kim, Hyun-Gug Cho, Byeong-Yeon Moon, Dong-Sik Yu
    논문 | 8페이지 | 4,000원 | 등록일 2016.08.09 | 수정일 2023.04.05
  • 플랜트 산업 EPC 수행체계에 관한 자료입니다.
    REVIEW I / RASPower Distribution System Electrical Schematic and Elementary Electrical Modeling Frame ... and Structure Modeling Architectural Design and Drawing Design Visualization Raster EditorIntergraph ... Intergraph Extract Isometric DrawingsEE-POWER EE-SHEMATIC EE-RWAY FRAME WORK PLUS PROJECT ARCHTECT DESIGN
    리포트 | 63페이지 | 1,500원 | 등록일 2013.10.26
  • 건축시공_건축 프로젝트 과정
    계획설계 (Schematic-Design: SD) 과정 계획설계 2 . 계획설계 (Schematic-Design: SD) 과정 01. 계획설계 도서 02 . 다학제적지식 03 . ... 셋째 , 경험이라는 진입 장벽이 있기 시공 일괄계약방식 (Design-Build 방식 ) c. CM 방식 (Construction Management) b. ... 설계시공 일괄계약방식 (Design-Build 방식 ) 설계시공 일괄계약방식은 설계시공 분리발주 방식의 대안으로 미국에서 개발되어 세계 여러나라에서 활용되는 계약방식이다 .
    리포트 | 18페이지 | 2,000원 | 등록일 2013.06.08
  • 한국브랜드 비비안을 중심으로 한국 스포츠브라 시장 조사 및 비젼제시
    Design Analysisimage Board(Concept) &Color, Fabric BoardSchematization & Style DrawingJob order..PAGE
    리포트 | 33페이지 | 7,500원 | 등록일 2016.05.22 | 수정일 2017.02.01
  • flip-flop and counter design(결과)
    and verifying various Flip-flops and Counters① Design and verify Master/Slave JK Flip-flop- Schematic ... and verify synchronous mod-10 counter circuit- Schematic- Verilog Codemodule mod10(clk, reset, A, B, ... and verify 4-bit bi-directional shift register- Schematic- Verilog Codemodule SHIFT_REG_BOTH(leftInput
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 2 _건축설계 단계별 프로세스
    -관계자의 역할, 결정시기, 법 절차의 개략 일정 파악...PAGE:132.계획설계(Schematic Design : SD)▷ 주요업무-디자인 목표설정.-설계조건의 확인. ... -설계조건을 검토, 정리할 때 항상 완성시킬 구성과 형태를 고려하여 협의...PAGE:112.계획설계(Schematic Design : SD)▷정의-설계의 기본목표와 방향을 수립하는 ... -건물에 대한 종합적인 계획수립(기능/규모/형태/구조/재료등)...PAGE:142.계획설계(Schematic Design : SD)▷ 협의사항-프로젝트 가치를 결정키 위해 건축주 요구파악
    리포트 | 35페이지 | 1,000원 | 등록일 2011.04.24
  • 논리회로실험) 부울대수의 간소화(2) 예비보고서
    과정 : 두 개의 Schematic을 부울식으로 만든 후 Verilog HDL로 변환하고 ModelSim을 이용하여 결과 값을 표에 작성한다 . ... identity property)* 실험 기기 및 부품- FPGA (DE2 - 115)- Quartus II & ModelSim program1) Quartus II : Program design
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대