• 통큰쿠폰이벤트-통합
  • 통합검색(4,164)
  • 리포트(3,708)
  • 자기소개서(222)
  • 시험자료(142)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지탈논리회로" 검색결과 1,061-1,080 / 4,164건

  • 방송통신대_컴퓨터의이해_1. 다음 문제에 대하여 주요 내용을 1, 2, 3, 4 번호를 붙여서 4가지 이상 설명하고 관련된 그림이나 사진을 설명문 본문에 한 장씩만 덧붙인다. 2. 컴퓨터에서 데이터의 입출력 방식에 대한 다음 내용을 (가)와 (나) 각각 A4 용지 1~2페이지, 총 3페이지 이내로 작성하라.
    산술 논리연산장치(ALU), 레지스터, 프로그램 계수 장치, 명령해독기, 제어회로 등 CPU의 모든 기능이 1개의 LSI칩에 조립되어 있다. ... processing unit)이다.② 하나의 칩(Chip)내에 기억, 연산, 제어장치 등을 구비하여 기존의 범용 컴퓨터의 기능을 수행하도록 만들어진 다목적 프로그램이 가능한 대용량 논리회로이다 ... 이때 수는 회로 기술의 발전으로 꾸준히 증가하여 4비트, 8비트, 16비트, 32비트, 64비트 등으로 MPU 가 개발되었다.메타버스 (가상현실)스마트홈마이크로프로세서2.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2022.06.19
  • 디지털시스템실험 2주차 예비보고서
    HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하는 도구이다.4. Verilog의 문법? ... 연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다. ... 논리 연산자 중 비트 단위의 연산자는 다음과 같다.연산자의미&비트 AND|비트 OR~비트 NOT^비트 XOR^~, ~^비트 XNOR?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 전자회로실험 결과보고서 디지털집적회로
    다른 논리칩들과 비교하라.팬아웃(fan-out)은 1개의 게이트에서 다른 게이트의 입력으로 연결가능한 최대 단수를 의미하는데 이상적인 로직게이트는 무한대의 입력임피던스와 0의 출력임피던스를 ... 디지털 집적회로1. 실험제목디지털 집적회로: AND, OR, NAND, NOR gate2. ... Propagation Delay 측정그림과 같이 회로를 구성하고 신호발생기를 통해 사각파를 입력한다. 오실로스코프를 통해 Vin, Vout를 측정한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2019.10.03
  • 디지털 시스템 설계 및 실습 리플가산기 설계 verilog
    1. 실습목적Carry look ahead 가산기는 캐리의 전파 지연을 없앰으로써 리플 가산기보다 덧셈 결과가 빨리 나올 수 있게 한다. 이번 실습에서는 전파 지연이 없는 carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비교..
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • 전가산기 설계 보고서
    논리 연산보다는 수학적인 연산자들이 그대로 사용된다.장점 : 1. ... 산수 연산한 후 발생한 캐리를 C에 할당하고 더한 결과를S에 출력함으로써 전가산기의 동작을 그대로 표현했다.Verilog를 이용해서 동작을 모델링하며 설계 할 수 있으며, 디지털 회로구현에 ... AND 그리고 ‘|’는 비트단위 OR을 각각 나타낸다.장점 : 첫 번째 방법으로 작성한 Verilog 코드보다 확실히 길이가 준다.단점 : Verilog 설계 전에 진리표를 이용해서 논리
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.20
  • 실습 6. 위상 제어 루프(PLL)
    결론위상 제어 루프 회로는 입력 신호를 XOR논리 게이트를 이용한 비교기를 통해 출력신호와의 차이를 출력하고, 루프필터를 통해 직류전압에 가깝게 만들어준다. ... 아날로그 및 디지털 회로설계 실습실습 6. 위상 제어 루프(PLL)소속담당교수수업 시간조번호조원실습 6. ... 위상 제어 루프 회로를 설계 및 구성하고, 동작 시켜 결과를 오실로스코프를 통해 확인하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 건국대학교 편입학 전기전자공학부 학업계획서
    1.편입학 후 학업 계획을 서술하시오.저는 건국대학교 전기전자공학부에 편입한 후에 응용논리회로설계, 디지털통신, 데이터통신, 반도체물성, 마이크로프로세서응용, 직류여자기기, 임베디드컴퓨팅
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.11.07
  • 퀸맥클러스키 알고리즘(연결리스트, 파일입출력)
    #include #include #include #include using namespace std;class Tminterm{public:char tvalue[100];Tminterm* next;int coverd = 0;int check = 0;};class Tli..
    리포트 | 3,000원 | 등록일 2021.05.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서4
    회로를 '부논리'회로라고 해서 서로 상보적으로 구분한다. ... 정논리에서는 1이 켜지는 상태, 즉 '활성=active'라고 한다면 부논리회로에서는 0이 켜지는 상태가 되는 것이어서 active low가 된다.다른 한편으로, IC들의 설계 시, ... 버스의 반대쪽에서 디멀티플렉서는 버스 데이터를 m개의 목적지 중의 하나로 전송하기 위해 이용될 수 있다.cf) 0을 꺼진 것으로 생각하는 회로를 '정논리'회로, 1을 꺼진 것으로 생각하는
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 외국계자기소개서- 2018 TexasInstrument Korea Sales Intern(기술영업인턴)
    , 컴퓨터프로그래밍, 논리회로]1학년 때 논리회로 과목을 수강하며, 아날로그와 디지털의 개념을 이해하고 이를 통해 컴퓨터의 구조와 센서의 값을 어떠한 방식으로 전달할 수 있는지 학습하였습니다.컴퓨터를 ... 통하여 논리표를 통해 7segement를 제어하고, 스텝 모터와 관련된 실험을 진행하였습니다. ... 이해하고 센서를 이해하려면 그들의 입장에서 생각하여야겠다고 생각하였고, 설계자의 능력에 따라 회로를 간소화 할 수 있다는 점에서 흥미를 느꼈습니다.아날로그 및 디지털 회로설계실습을
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.01 | 수정일 2020.11.26
  • 컴활 2급 1과목 필기 요약본 입니다.
    입을 수 있는 컴퓨터디지털-논리회로,전자시계,계산하고,느리고,정확아날로그 ? ... 네트워크로 작업, 서버/클라이언트 방식컴퓨터 세대별 회로1세대 진공관 2세대 트랜지스터 3세대 집적회로 4세대LSI 5세대 피냐!!WINDOWS 특징과 단축키!! ... 물리적 논리적 오류 검사최적화 및 조각 모음 ? 단편화제거,속도향상휴지통-드라이브 마다 따로 설정 , 오래된 파일부터 삭제 , 실행 및 복사가 불가능영구삭제 ?
    시험자료 | 8페이지 | 1,500원 | 등록일 2021.07.11 | 수정일 2022.06.20
  • 연세대학교 공과대학 컴퓨터과학과 편입학 학업계획서
    이뿐만 아니라 정보공학, 정보관리, 정보처리, 컴퓨터교육, 컴퓨터통계, 논리회로설계, 소프트웨어공학, 반도체공학, 다소 컴퓨터와 거리가 멀게 느껴지는 재료공학에도 관심을 가지고 있습니다 ... IT기술개론, 공학설계1,2, 공학수학1,2, 공학화학및실험1,2, 공학물리학및실험1,2, 공학생물학및실험1,2, 객체지향프로그래밍, 확률통계, 자료구조, 컴퓨터시스템, PC설계, 디지털논리회로연습 ... 저는 확률통계, 컴퓨터과학입문, 컴퓨터시스템, 오토마타형식언어, 인공지능, 선형대수와그응용, 컴퓨터네트워크, 데이터베이스, 소프트웨어공학, 알고리즘분석, 컴퓨터교육론, 컴퓨터교육논리논술
    자기소개서 | 2페이지 | 3,800원 | 등록일 2021.01.18
  • 쌍안정 회로와 RS래치 결과보고서 A+
    그리고 디지털공학과 논리회로설계 시간에 학습한 내용들을 실습으로 접목을 시킨 점에서 의미가 깊다고 생각한다. ... Experiment-Report(11장 쌍안정 회로와 RS래치)1. ... 이론적으로 배울 때는 상당히 간단해보였던 회로들이 실제 브레드보드에 나타내보니 상당히 복잡했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.11
  • 컴퓨터일반 시험대비 요점정리
    논리회로- 조합 논리회로(논리회로 여러 개를 조합해서 특정기능 수행)· 종류 : 반rite) : 쓰기 후 읽기-> WAR해저드(Write, After Read) : 읽기 후 쓰기-> ... .- 연산장치(ALU, 산술논리연산장치)· 시프터 : 왼쪽, 오른쪽으로 이동시켜주는 회로.· 가산기 : 덧셈을 계산하는 회로.· 보수기 : 뺄셈을 위한 보수를 만들어주는 회로.· 오버플로우검출기 ... ’) (같으면0, 다르면1), 반전을 이용한 보수 생성.· XNOR : NOT + XOR- 유니버셜 게이트(단일게이트로 모든 논리회로 구현 가능)· 1NAND => NOT / 1NOR
    시험자료 | 24페이지 | 2,500원 | 등록일 2020.10.28 | 수정일 2020.12.11
  • 삼성전자 메모리 회로설계 합격 자기소개서
    논리회로 설계 수업을 수강하며 회로 설계에 관해 관심을 두게 되었고 더욱 깊은 전공 능력을 갖추기 위해 대학원에 진학하여 DRAM ECC 연구과제를 통해 DRAM의 구조 및 동작 순서를 ... 특히 제가 원하는 회로 설계 직무를 수행하기 위해서는 회로 설계 능력이 반드시 필요하며 또한 문제 발생 시 이를 해결하는 능력이 필요하다고 생각합니다.첫 번째로 디지털 회로 설계의 ... 입사 후 5년 뒤에는 시스템 성능 영향을 최소화한 In-DRAM ECC 회로를 구현할 것입니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 제10장 래치와 플립플롭 예비보고서
    순차논리회로순차논리회로의 블록도는 과 같다. 이 그림에서조합논리회로에 메모리요소가 궤환되어 있다. ... 이해한다.- 플립플롭의 동작을 실험을 통해 이해한다.2) 이론 및 실험- 순차논리회로디지털회로는 크게 조합논리회로(combinational logic)와 순차논리회로(sequential ... logic)로 분류된다.조합논리회로는 현재의 순간의 출력이 현재 순간의 입력에 의해서 결정되는 논리회로이다.순차논리회로는 현재 순간의 출력이 현재 순간의 입력뿐만 아니라, 과거의
    리포트 | 15페이지 | 1,500원 | 등록일 2020.02.11
  • 8. 래치와 플립플롭 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 목적- 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.3. ... 실제 래치나 플립플롭을 설계할 때 트랜지스터를 다양한 방식으로 레이아웃 하여 설계하므로 자신이 원하는 회로의 가장 중요한 특성을 잘 파악하여 tradeoff 관계를 고려한 최선의 회로로 ... 실습 활용 방안- 정보를 저장하는 특성을 가진 래치와 플립플롭을 통하여 카운터 회로나 램을 구성할 수 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.10.02 | 수정일 2023.01.03
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    따라서 XC3S200에서 구현 가능한 최대 논리 게이트 수는 4,320인 것 같다. ... CPLD는 일반적으로 수천에서 수만 개의 논리 게이트를 가지므로 중간 정도의 데이터 처리 장치를 구현할 수 있다. ... PLA 회로도- PAL(Programmable Array Logic) HYPERLINK \l "주석3"[3]FPGA이 1975년 이후로 판매되었지만, 이 장치는 회로 설계자에게 친숙하지
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • SK하이닉스 소자 직무 합격 자기소개서
    설계하였고, 추가 과제인 7segment display에 최소의 전선을 이용하여 숫자를 출력하는 논리회로를 구현하였습니다. ... 디지털 회로 설계에 자신이 있었던 저는 전반적인 회로 디자인을 보기쉽게 작성하여 실험전 팀원들에게 나눠주었고, 해당 회로의 소자들에대한 조사를 함께 진행하였습니다. ... 연구하며 저는 chip을 이용한 회로설계도 재미있지만, chip을 구성하는 회로설계를 직접 해보고 싶다는 생각을 하였습니다4.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 디지털 시스템 설계 및 실습 전감산기 설계
    전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대