• 통큰쿠폰이벤트-통합
  • 통합검색(26,659)
  • 리포트(25,339)
  • 시험자료(756)
  • 자기소개서(270)
  • 방송통신대(166)
  • 논문(100)
  • 서식(21)
  • ppt테마(4)
  • 노하우(3)

"신호값" 검색결과 81-100 / 26,659건

  • 한전 체험형 합격자소서
    Bluetooth 신호 중 송신한 신호의 세기인 RSSI 값과 1M 거리에서 전송되는 RSSI값인 Tx Power를 이용하여 거리를 환산하는 공식을 찾아내었고, 이 공식과 오픈 소스를
    자기소개서 | 1페이지 | 4,000원 | 등록일 2020.11.15
  • 서강대학교 22년도 전자회로실험 6주차 결과레포트 (A+자료)
    등가저항 를 구하라. ( , ,[표 2] , , 계산값(계산값)(계산값)(계산값)80.0mS2000Ω12.41Ω입력신호로 주파수 2kHz, 진폭 1V 정현파 신호를 인가한다. ... 위의 사진은 기본 회로와, 소신호 등가회로를 나타낸다. 소신호 등가회로를 이용하면, 증폭기의 입력 임피던스, 출력 임피던스, 전압 이득 등의 이론값을 계산할 수 있다. ... 입력신호원인 함수발생기를 High-Z 모드로 설정하고 주파수 2kHz, 진폭 100mV를 갖는 입력신호를 인가하고 을 측정하라.= 1 kΩ일 때 (측정값) = 100mV= 100이다
    리포트 | 31페이지 | 1,000원 | 등록일 2024.03.24
  • 전자공학실험 11장 공통 소오스 증폭기 A+ 결과보고서
    파형입력신호의 측정값출력 신호의 측정값입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.15V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.2V_PP일 ... 측정값-0.15V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.2V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.25V_PP일 ... 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.25V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.3V_PP일 때[표 11-4] 공통
    리포트 | 9페이지 | 1,500원 | 등록일 2024.05.13
  • 2021년 상반기 현대자동차 자율주행 기술 및 시스템 개발 합격 자소서
    따라서 LiDAR가 이 값을 활용해 ROI를 회전 변환, 평행 이동합니다. ... LiDAR는 ROI를 설정하고 이 영역에 장애물이 인지될 경우 스티어 값을 제어합니다. ... 또한 신호 판단을 위해 설정한 ROI(Region Of Interest)는 주행 환경에 따라 신호등 위치를 벗어났습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.07.19
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 1차예비보고서-초전형 적외선 센서
    1. 실험 목적초전형 적외선 센서, LED, Op-Amp 의 원리를 이해하고, 센서가 인체의 움직임을 감지하였을 때 발생하는 전압의 변화를 검출할 수 있는 초전형 센서 회로를 설계한다. 2. 준비물적외선 센서 (RE200B) : 1 개Op-Amp (UA741CN) : ..
    리포트 | 3페이지 | 1,000원 | 등록일 2021.10.06
  • 전자공학실험 13장 공통 게이트 증폭기 A+ 결과보고서
    파형입력신호의 측정값출력 신호의 측정값-0.1V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.15V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 ... 신호의 측정값-0.2V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.25V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.3V_PP일 ... 때-0.35V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.4V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.45V_PP일 때입력신호-출력신호
    리포트 | 8페이지 | 1,500원 | 등록일 2024.05.13
  • [행정안전부] 지진가속도기록계 성능검사서
    STA/LTA 또는 스레시홀드(threshold)ㆍ 자동 신호감지 수준 변경 가능 여부자료취득ㆍ 100회/초 이상 파형연속기록ㆍ 매초마다 최댓값 산출(MMA)자료기록시간ㆍ 자동 신호감지 ... TCP/IP) 통신가능ㆍ 다중전송이 가능기록형식 및 저장ㆍ 100회/초, 20회/초 멀티샘플링(multi-sampling)ㆍ 매초 마다 20회/초 자료에서 MMA(최대, 최소, 평균)값 ... )제작년월모델명일련번호(S/N)구분항목세부항목측정결과적합여부비고지진가속도기록계동적범위ㆍ 자체 잡음레벨 측정 : 120dB이상(100샘플/초)채널수ㆍ 3채널이상 및 채널확장여부자동 신호감지방법ㆍ
    서식 | 2페이지 | 무료 | 등록일 2023.03.14
  • 아날로그 신호와 디지털 신호의 차이점을 1) 정의, 2) 파형의 형태, 3)우리주변의 예, 4) 신호의 표현 등을 기술하시오.
    즉, 아날로그 신호는 연속된 값을 가지고 있고, 디지털 신호는 비 연속적인 값을 가지고 있는 신호들입니다. 시계를 예를들어 보면 디지털 시계는 시,분,초를 알수있습니다. ... 이러한 값들은 고정되어 있지 않으며, 따라서 노이즈에 면역되지 않아 왜곡이 발생할 수 있습니다아날로그 신호는 디지털 신호와 달리 연속적인 값을 가지며, 이는 더 상세한 정보를 담을 ... 디지털 신호정의 : 디지털 신호는 데이터를 일련의 이산 값들로 표현하기 위해 사용되는 신호입니다.
    리포트 | 6페이지 | 2,500원 | 등록일 2024.05.23
  • 아날로그와 디지털의 장단점에 대해 서술하시오. 서론
    아날로그 신호는 연속적인 값을 가지며 미세한 변화를 포함하는 반면, 디지털 신호는 이산적인 값을 가지므로 아날로그 신호의 세부적인 변화를 정확하게 표현할 수 없다. ... 양자화는 디지털 신호의 연속적인 값들을 이산화된 값들로 근사하여 표현하는 과정인데, 양자화 과정에서도 오차가 발생할 수 있다.대역폭 요구디지털 시스템은 아날로그 신호에 비해 더 많은 ... 아날로그는 연속적인 값을 사용하여 자연스러운 신호 전달과 정확한 신호 재생산을 가능하게 한다.
    리포트 | 5페이지 | 3,000원 | 등록일 2024.07.17
  • 전자공학실험 12장 소오스 팔로워 A+ 결과보고서
    파형입력신호의 측정값출력 신호의 측정값-0.1V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.15V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 ... 신호의 측정값-0.2V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.25V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.3V_PP일 ... 값을 0V, Vgg값을 6V로 두고, Rgg 저항값이 2kΩ인 경우 vo의 DC값이 4V가 되도록 하는 Rs 값을 결정한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.13
  • 건국대학교 전기전자기초실험1 4주차 결과보고서 A+
    신호발생기를 이용하여 입력 신호를 그림과 같이 설정하라. 오실로스코프를 이용하여 입력전압과 출력전압을 모니터링하고 출력전압의 최대값이 얼마인지 기록하라. ... 신호발생기를 이용하여 입력 신호를 그림과 같이 설정하라. 오실로스코프를 이용하여 입력전압과 출력전압을 모니터링하고 출력전압의 최대값이 얼마인지 기록하라. ... 신호발생기를 이용하여 입력 신호를 그림과 같이 설정하라.
    리포트 | 7페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 전자회로실험2_26장_A급 및 B급 전력 증폭기
    A급 증폭기에 대한 전력과 효율을 계산하라.순서 2(e)와 2(f)에서 구한 전력과 효율의 계산값과 측정값을 비교하라.DC 바이어스입력 신호 25mVPi410mW359.95mWPo107.53mW27.585mW ... 두개의 트랜지스터를 사용하여 양의 신호와 음의 신호를 분리하여 음의 신호만을 증폭한다. ... 이때의 입력전압과 출력전압을 측정하여 기록하라.측정값을 사용하여 그림 26-1의 A급 증폭기의 전력과 효율을 계산하라.순서 2(b)와 2(c)에서 구한 전력과 효율의 계산값과 측정값을
    리포트 | 11페이지 | 4,000원 | 등록일 2023.11.30
  • 2019 코레일 상반기 자기소개서 전기통신
    분석하여 필터를 선정하는 역할을 했습니다.논문내용은 Bluetooth 신호 중 송신한 신호의 세기인 RSSI 값과 1M 거리에서 전송되는 RSSI값인 Tx Power를 이용하여 거리를 ... 환산하는 공식을 찾아내었고, 이 공식과 오픈 소스를 바탕으로 어플을 설계하였습니다.이 프로젝트는 높은 변동률을 가진 미세한 신호를 수신하는 것이 핵심인 과정입니다. ... 재능기부 등 주요 직무능력을 서술하여 주십시오.현재 557 Bytes / 2000 Bytes 이내[ 무선 통신 기술을 이용하여 단말기 거리 환산 ]팀에서 소스를 구현해내고 거리에 따른 신호
    자기소개서 | 3페이지 | 4,000원 | 등록일 2020.11.15
  • 5주차_4장_예비보고서_반파및전파정류
    오른쪽 그림에서 전파 정류 신호의 직류 값은 반파 정류 신호의 직류값보다 2배, 즉 피크 값 의 63.6%가 된다. .입력 정현파 신호가 큰 경우(>>), 다이오드의 순방향 바이어스 ... 직류 값은 반파 정류 신호의 직류값보다 2배, 즉 피크 값 의 63.6%가 되기에본 실험 방법 및 유의점실험장비- 실험 장비계측기 : 오실로스코프, 신호발생기, 직류전원, DMM부품 ... 출력 파형 Vo의 직류값에 대해서 계산값과 측정값을 결정하고 비교하라.Vpeak(계산값) = VVpeak(시뮬값) = VVDC(계산값) = VVDC(시뮬값) = V(전파 정류 신호
    리포트 | 18페이지 | 4,000원 | 등록일 2023.11.30
  • 성균관대 전자전기공학부 편입 면접 예상질문 정리
    음의 무한대 값에서 시작하므로 음의 무한대 값을 가질때 적합(신호)편측 라플라스변환은 초기값을 갖고 t>0인경우 적합(시스템)1. ... 신호의 주파수 성분을 알 수 있다. 2. ... 디락 델타 함수는 시각이 0일 때에는 값이 무한대이고 그 밖에서는 값이 0이며 적분하면 1이 되는 함수이다.이제, 임펄스 함수의 라플라스 변환이 전달 함수임을 확인해 보자.임펄스 함수
    자기소개서 | 8페이지 | 3,000원 | 등록일 2019.10.08
  • 서강대학교 22년도 전자회로실험 10주차 결과레포트
    계산된 이득 값과 측정된 이득 값을 비교하여라전압이득(이론값) = 3.955전압이득(측정값) = 3.88- 소신호 등가회로를 그린 후, 위에서 구한 gm값을 이용해 계산된 전압이득의 ... (보드회로 입.출력파형)(4) 측정값을 바탕으로 다음 신호의 진폭을 기록하고 전압이득을 구하여라입력신호 크기 = 200mVPP출력신호 크기 = 776mVPP전압이득 = 3.88- 전압이득이 ... 전압이득의 이론값과 측정값을 비교하라. 두 값의 차이가 있다면 어떤 이유가 있는가?
    리포트 | 25페이지 | 1,000원 | 등록일 2024.04.18
  • 2020하반기현대차 - 합격자소서
    이 과정에서 신호의 노이즈를 유발하는 ISI를 Eye's pattern의 왜곡을 통해 확인했고, 수신 신호의 Sequence를 붙여 align했습니다. ... 이때 각 팀마다 원하는 결괏값이 나오지 않는 문제가 있었습니다. ... 측정값의 오차원인 분석을 위해 회로도를 보고 접지 저항의 정확한 위치를 찾으며 원인 분석에 대한 사고법을 배웠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.04.12
  • [A+] 오실로스코프와 함수발생기 결과보고서
    통해 함수발생기에서 발생시킨 전기신호와 실제로 오실로스코프 상에서 측정되는 전기신호의 주파수, 전압, 주기의 값이 서로 비슷한 경향을 보임을 알 수 있다.< 고찰 및 토의 >1. ... 통해 함수발생기에서 발생시킨 전기신호와 실제로 오실로스코프 상에서 측정되는 전기신호의 주파수, 전압, 주기의 값이 서로 비슷한 경향을 보임을 알 수 있다.3V , 1KHz 의 경우부분에서 ... 통해 함수발생기에서 발생시킨 전기신호와 실제로 오실로스코프 상에서 측정되는 전기신호의 주파수, 전압, 주기의 값이 서로 비슷한 경향을 보임을 알 수 있다.5V , 100Hz 의 경우부분에서
    리포트 | 7페이지 | 2,000원 | 등록일 2023.08.15
  • 시립대 전전설2 A+ 4주차 예비레포트
    결과가 다를 수 있음함축적 감지신호 표현@(*)을 사용 가능순차논리회로 모델링동기식 set/reset을 갖는 경우: 클록신호만 포함비동기식 set/reset을 갖는 경우: 클록신호 ... )조합논리회로 모델링always 구문으로 모델링되는 회로의 입력 신호가 모두 나열되어야 함일부 신호가 감지신호목록에서 빠지면, 합성 이전의 RTL 시뮬레이션 결과와 합성 후의 시뮬레이션 ... @(sensitivity list)는 always문의 실행을 제어Sensitivity list(감지신호목록)에 나열된 신호들 중 하나 이상에 변화(event)가 발생하였을 때 always
    리포트 | 25페이지 | 2,000원 | 등록일 2024.09.08
  • 건국대학교 전기전자기초실험1 14주차 예비보고서 A+
    이와 같은 문제점을 방지하는 방법은 래치의 En 신호가 어떤 레벨을 유지하고 있는 동안에는 래치의 상태 값이 바뀌지 않고 원래 값을 유지하고 있도록 하며, En 신호의 값이 0에서 ... 그리고 클럭 신호가 변하지 않고 0 혹은 1을 유지하고 있는 동안에 입력 신호로 어떤 값이 들어오든, 상태는 바뀌지 않고 이전 상태 값인 Q(t)를 그대로 유지한다. ... 이와 같이 En 신호가 전이되는 순간의 입력 값에 따라 상태 값이 결정될 수 있도록 보완 설계된 기억 소자가 플립플롭이다.
    리포트 | 9페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:51 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대