• 통큰쿠폰이벤트-통합
  • 통합검색(287)
  • 리포트(273)
  • 시험자료(8)
  • 방송통신대(4)
  • 논문(1)
  • 자기소개서(1)

"쉬프트 레지스터" 검색결과 81-100 / 287건

  • 충북대 기초회로실험 카운터 회로 예비
    그 외에 쉬프트 레지스터도 일종의 카운터로 볼 수 있는데, 귀한 반복한다하여 고리카운터라고도 부르며 그 변형에 Johnson 카운터가 있다.JK 플립플롭을 사용하여 모든 앞단의 출력들을
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 정보통신개론(1~5번 과제) 첨부파일 이미지를 확인하여, 과제를 수행하세요.(5문제 중 4문제 택) - 주어진 5문제 중 4문제를 선택하여 풀어 제출하시오.(A4 5p 이내)
    있는 것이 아니라 계속적으로 삽입 수신 측에서 Viterbi Code Algorithm을 적용하여 수신 측에서 가장 근접한 부호를 가진 tree를 택하여 부호 복조구성 요소에는 쉬프트 ... 레지스터(Shift Register): 정보를 암호화할 때 사용되는일종의 기억장치와 생성다항식start stateinputend stateoutput000000000110100100010011100010001111011101110010111111111
    리포트 | 7페이지 | 2,500원 | 등록일 2021.09.10
  • [운영체제] 페이지 대치 알고리즘 비교 (선입선출, 최적페이지, 최근최소사용, LRU 근접)
    [쉬프트 레지스터 값]00000000 : 8 번의 기간 동안 단 한 번도 사용하지 않았다는 의미11111111 : 각 기간마다 한번 사용된 결과? 11000100(196₁?) ... 각 페이지 테이블 항목과 각 페이지 테이블 항목 그리고 사용시간 레지스터와 연관 하여 각 페이지 프로세서에 논리 클럭이나 계수기를 덧붙여서 페이지가 참조될 때마다 클럭을 발생시켜 그 ... 기본적으로 0000000 8비트 시프트 레지스터를 유지해두고 오른쪽으로 이동하는 기법을 사용한다. 8 번의 기간 동안 그 페이지 사용의 기록정보 유지했는지에 관하여 아래와 같이 판단한다
    리포트 | 8페이지 | 2,000원 | 등록일 2020.05.18 | 수정일 2020.08.26
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서11
    이 때의 n은 sequence를 생성하는데 사용되는 쉬프트 레지스터의 수와 같다. 그러므로 sequence의 길이는2 ^{n} -1 bit와 같다.3. ... 하지만, random해 보이는 주기적인 sequence는 시프트 레지스터의 개수를 사용하여 쉽게 생성할 수 있기 때문에 PRBS 신호를 사용한다.2.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.10.24
  • 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    마찬가지로 논리 다이어그램이 복잡해 실제로 어떠한 연산이 이루어지고 있는지 추적하기는 어렵지만, 이 또한 앞선 2번 실험과 유사한 순환회로로, 플립플롭과 쉬프트 레지스터를 활용함을 ... Register를 응용한 것이기에 구성은 register와 같다. 사용용도 및 특성에 따라 여러 종류가 존재한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • VLSI 프로젝트 보고서
    ALU는 정수형 산술 논리 장치로 수학연산, 논리연산, 쉬프트 등의 수학적 연산을 담당한다. ... 레지스터 어레이는 데이터 처리를 위한 액세스 메모리 위치로 작동하는 축전기 등과 같은 일련의 레지스터로 구성된다. ... 단일 칩 프로세서는 오류가 발생할 가능성이 있는 전기 배선의 수가 매우 적기 때문에 신뢰성이 올라간다.마이크로프로세서는 제어장치(Control Unit : 명령어 해석 및 실행), 레지스터
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
  • 전산직 정보보호론 핵심정리 Part 1
    C언어 연산자 우선순위괄호 내 연산자>산술>쉬프트>관계>비트논리>논리>대입연산자8. Null포인터 구조 : 단순연결리스트, 이중연결리스트, 단순역방향연결리스트9. ... 프로세서제어블록에 포함되는 것 : 프로세스 식별자, 프로세스 상태, 프로그램 카운터, 레지스터 저장 영역, 프로세서 스케줄링 정보, 계정정보, 입출력 상태정보, 메모리 관리정보12.
    시험자료 | 4페이지 | 3,000원 | 등록일 2020.10.21
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    최하위혹은 최상위로 보내는 쉬프트-오른쪽 쉬프트 연산최하위 비트가 최상위로 이동-왼쪽 쉬프트 연산최상위 비트가 최하위로 이동4)Logical shift-쉬프트 연산시, 최상위 혹은 ... 쉬프트-오른쪽 쉬프트 연산양수 일 때 부호 비트는 0으로 유지/음수 일 때 부호 비트는 1로 유지-왼쪽 쉬프트 연산Logical shift 연산의 왼쪽 쉬프트와 같음6)DIP Switch를 ... 또한 Arithmetic shift는 쉬프트 연산시 부호비트를 유지하면서 쉬프트를 한다.
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 레지스터 실험 예비보고서
    레지스터의 동작유형에 따른 종류쉬프트 레지스터를 응용한 카운터를 쉬프트 레지스터 형 카운터 또는 쉬프트 카운터(shift counter)라고도 부른다. ... ) 및 쉬프트레지스터(Shift-Register)n개의 플립플롭(Flip-Flop)에다 n bit를 기억시키는 장치를 레지스터(register)라고 하며, 자유로이 n bit 정보를 ... 오른쪽으로 이동시키는 쉬프트 레지스터(shift-register)로도 사용한다.그림 SEQ 그림 \* ARABIC 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.09.16
  • 디지털회로실험 교안.hwp
    쉬프트 레지스터(1) 68실험 12. 쉬프트 레지스터(2) 681. 실험 목적 682. 기초 이론 683. 예비 보고서 704. 실험 기자재 및 부품 715.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 시프트 레지스터
    또는 양방향으로 매 클럭펄스마다, 한 플립플롭 씩 저장된 값을 좌우로 이동시킬 수 있는 레지스터입니다.쉬프트 레지스터란 2진식 정보를 좌 또는 우로 자리옮김을 시킬 수 있는 레지스터이다 ... 달라질 때 마다 쉬프트현상이 일어난다는 사실을 알 수 있다. ... 시프트 레지스터(Shift Register)결과8-bit 시프트 레지스터클럭 펄스와I_n A와`I_n B의 변화에 따른 다이어드의 변화 관찰결과표CLR011111111InBInA클록출력111111111x11001100uparrowuparrowuparrowuparrowuparrowuparrowuparrowuparrowA011001100B001100110C000110011D000011001E000001100F000000110G000000011H000000001토의단방향
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 아주대학교 논리회로실험 실험7 예비보고서
    데이터를 각 클력 틱마다 한 비트씩 이동시킬 수 있는 n비트 레지스터이다.5) 레지스터 종류쉬프트 레지스터는 직렬 입력 - 직렬 출력, 직렬 입력 - 병렬 출력, 병렬 입력 - 직렬 ... 이 상태에서 클럭 신호를 인가하여 비트를 쉬프트 시킨다.예상되는 결과로는 1100에서 클럭이 인가될 때마다 오른쪽으로 한칸씩 쉬프트 되어서 차례대로 0110, 0011, 0001의 ... 그리고 A, B에 1을 입력하고 PE를 1에서 0으로 입력한다.클럭 신호를 인가하여 비트를 쉬프트 시킨다.예상되는 결과로는 1의 두 비트가 클럭 신호가 인가될 때마다 한칸식 쉬프트
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • [컴퓨터구조] 중간범위 간단 정리
    (arithmetic shift)① 쉬프트 과정에서 부호 비트는 유지하고, 수의 크기를 나타내는 비트들만 쉬프트한다.1 0 1 0 1 1 1 0 ; 초기 상태1 1 0 1 1 1 0 ... 0 ; A의 산술적 좌측-쉬프트 결과1 1 0 1 0 1 1 1 ; A의 산술적 우측-쉬프트 결과하드웨어(hardware)① 물리적인 실체② CPU, 기억장치(Ram), 입출력장치 ... 주소레지스터(MAR: Memory Address Register)다음에 읽기 동작이나 쓰기 동작을 수행할 기억장소의 주소를 저장하는 주소저장용 레지스터기억장치 버퍼 레지스터(MBR
    리포트 | 8페이지 | 1,000원 | 등록일 2019.04.01
  • MCU(마이크로 컨트롤러 유닛)인터럽트의 이해와 사용
    . if(PORTC == 0xff) PORTC = 0xfe; // 쉬프트해가다가 불이 다차서 FF 가 되면 다시 FE 로 만들어주어 다시 쉬프트해간다 . }interrupt [EXT_INT5 ... PORTC = PORTC | 0x01; // 끝에 1 씩 계속 |( 비트연산자 ) 을 으 로써쉬프트한다음에도 끝에 1 을 채워주기때문에 // 불을다 채우면서 꺼진불만 이동할수있게 된다 ... 또한 사용자는 인터럽트를 인에이블 시키기 위해 I 비트를 셋할 수도 있다 .인터럽트를 이용한 LED 쉬프트 소스 #include mega128.h void main(void) { DDRC
    리포트 | 25페이지 | 1,000원 | 등록일 2018.09.11 | 수정일 2018.12.08
  • [평생교육원,학점은행제] 디지털 공학 개론 과제
    =0이면, 모든 레지스터의 출력이 Clear클럭의 상승 에지마다 입력된 직렬 데이터가 쉬프트되어 저장됨3) IC 74165: Parallel Load 8-Bit Shift Register8개의 ... 분류에 속하는 IC들을 정리하시오.1) IC 7491 (8-Bit Shift Register)8개의 SR 주종형 플립플롭을 직렬로 연결하여 구성한 직렬입력-직렬출력 레지스터직렬 입력단자 ... Access Shift Registers)PIPO, SISO, PISO, SIPO이 가능한 4비트 레지스터동작상태병렬입력 : SHIFT=0, LOAD=1으로 하면 클록펄스의 하강에지에서
    리포트 | 6페이지 | 5,000원 | 등록일 2019.02.01 | 수정일 2019.02.15
  • 시프트 레지스터와 시프트 카운타
    시프트 레지스터와 시프트 카운터1. 실험목적① 시프트 레지스터의 동작 이해② 시프트 레지스터의 특성을 이용한 카운터 이해③ 존슨 카운터 동작 이해④ 링 카운터 동작 이해2. ... 배경이론● 시프트 레지스터 - 플립플롭을 직렬로 접속해서 만들어지며 한 플립플롭의 출력은 다음 단의 플립플롭의 입력에 접속하는 회로이다.D 플립플롭을 이용한 시프트 레지스터JK 플립플롭을 ... 왜냐하면 초기에 신호를 넘기기 위함이었다.시프트 레지스터(D플립플롭)에서 다음상태인{bar{Q}}를 다시 D로 넣어주면 존슨 카운터로 구성을 할 수 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • [Ayeun] 마이크로프로세서응용 5주차 결과보고서 마프(ATmega128,LCD,CLCD,LCD과제 코드)
    쉬프트 레지스터- 미는 역할을 한다. ... 데이터가 원래 한 비트씩 날아오는걸 모아서 한 바이트나 두 개의 바이트처럼 모아 쏴주는 그런 역할을 한다.Ex) 8bit 쉬프트 레지스터를 사용하면 CPU에서 굳이 8개의 라인을 각각 ... 연결하지 않더라도 하나의 데이터 라인을 통해서 8개를 정확한 딜레이 타이밍에 맞추어 보내주면 쉬프트 레지스터가 실제로 8bit인 한 바이트를 물고 있는 것과 같은 역할을 수행해 준다
    리포트 | 14페이지 | 1,000원 | 등록일 2018.12.22
  • 디지털논리회로실험 - 제 11장 시프트레지스터와 시프트 카운터
    다른 곳으로 이동시킬 수 있게 된다.쉬프트 레지스터 회로에서 정보의 이동 방형은 왼쪽과 오른쪽이 모두 가능하게 되는데이 중 왼쪽으로 이동하는 것을 Shift-Left Register ... 또한 쉬프트 레지스터의 마지막 단의 출력이 입력에 연결되었을 때 정보는 레지스터 내를 순환하게 되는데 이러한 쉬프트 레지스터를 순환 쉬프트레지스터라고 한다.2.2 Shift Counter ... 쉬프트 레지스터를 클리어 시킨다. 여기서 직렬정보는 직렬 입력 스위치에 의하여 쉬프트 레지스터로 입력될 것이다?
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.08
  • 시프트레지스터 예비보고서
    그리고 해당하는 IC가 있는지 조사하라.• 직렬입력 직렬출력 쉬프트 레지스터 (7491)• 직렬입력 병렬출력 쉬프트 레지스터 (74164)• 병렬입력 직렬출력 쉬프트 레지스터 (74166 ... 레지스터의 최종 출력을 다시 입력에 귀환시킨 일종의 순환 시프트 레지스터이다. ... 기능을 동시에 갖추고 있는 시프트 레지스터를 만능 시프트 레지스터라고 한다.2.2 링 카운터링 카운터는 시프트 레지스터를 응용한 가장 간단한 카운터로서 직렬 입력, 병렬 출력 시프트
    리포트 | 6페이지 | 1,000원 | 등록일 2018.03.18
  • verilog 나눗셈기 곱셈기 보고서
    제수input [15:0]Dividend; //피제수output reg Load; // 피제수, 제수 로드 신호output reg V; //오버플로우 신호output reg Sh; //쉬프트 ... Load는 레지스터에 피제수와 제수가 로드될 수 있게 해주는 출력 신호이다. V는 오버플로우를 알려주는 출력 신호이다. ... 이외의 레지스터 이름은 Verilog 코드에 주석으로 달아 놓았다.나눗셈기의 State Graph 이다. S0은 초기 상태이다.
    리포트 | 35페이지 | 2,000원 | 등록일 2018.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대