• 통큰쿠폰이벤트-통합
  • 통합검색(1,314)
  • 리포트(1,196)
  • 자기소개서(65)
  • 방송통신대(27)
  • 시험자료(18)
  • 논문(7)
  • 표지/속지(1)

"디지털 시계 회로" 검색결과 81-100 / 1,314건

  • 23(상)_현대자동차_현장직_자기소개서
    저는 팀장으로서 디지털시계회로를 분석하는 것이 아닌, 기본 이론부터 재검토를 진행하였습니다. ... 안전에 대한 올바른 인식을 기반으로 안전한 생산 활동에 기여하는 ‘모빌리티 기술인력’이라고 생각하며, 이와 관련된 강점은 다음과 같습니다.첫째, 문제 대처 역량입니다.대학시절, 디지털시계 ... 해당 프로젝트는 상호 특성으로 회로 간 긴밀한 연결이 필수였습니다. 팀원들과 설계과정은 성공적으로 진행되었으나, 결과 값 출력에서 문제가 발생하였습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.10.30
  • 디지털회로실험 카운터 결과보고서
    일반적으로 디지털 시계는 [발진회로->분주회로->카운터회로->디코더회로->표시회로]로 구성되어 있다. ... 발진회로 : 발진회로디지털 시계에 안정적인 클록을 제공할 목적응로 설계되는 회로다. ... 예시로는 디지털 시계디지털 주파수 카운터가 있다.1. 디지털 시계 : 일상생활에서 흔히 볼 수 있는 디지털 시계는 크게 카운터와 디코더로 이루어졌다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 2023상반기 현대자동차 R&D 합격 자소서
    . ※ 석사과정자는 연구경력 및 세부 전공에 대해 기술해 주십시오.1) 디지털 시스템 설계 A+ : VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 개발을 한 학기 간 수행했습니다 ... 발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 이는 향후 디지털 회로 설계 과정을 이해하는 중요한 기반이 되었습니다.이 같은 전공 과목 수강내역을 통해 얻은 H/W 설계 역량은 분명 내부 도메인 아키텍처 개발 업무에 도움될 것입니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 연세대 23-2 기초아날로그실험 A+6주차 예비보고서
    Oscillator는 그림1과 같이 필요에 따라 sinusoidal, pulse, sawtooth, triangular waveform들을 만들 수 있어 전자회로, 디지털 논리 회로 ... , 무선 통신 장치, 시계 레이더 컴퓨터 클럭 발생기 및 다양한 응용분야에서 사용된다. ... breadboard에서 LED flasher 구현Ⅱ.이론2.1 Oscillators and TimersOscillators는 일정한 주기와 진동 주파수로 반복적인 신호를 생성하는 전자회로
    리포트 | 11페이지 | 1,500원 | 등록일 2024.03.23
  • (컴퓨터의이해) 다음 문제에 대하여 주요 내용을 ①, ②, ③, ④ 번호를 붙여서 4가지 이상 설명하고 관련된
    시계이다. ... 뿐만 아니라 시계의 패널 자체가 출력장치이다. 사용자가 애플워치를 조작할 때 애플워치의 화면을 보게 된다. 즉, 애플워치는 시계의 화면을 통해서 정보를 출력한다고 하겠다. ... 부동산과 디지털 기술을 접목한 기술을 일컫는 ‘프롭테크’에도 AR 기술이 활용된다. 가상세계는 현실과 유사하거나 완전히 다른 대안적인 세계를 디지털로 구축한 것을 말한다.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2023.03.10
  • 방통대 컴퓨터의이해 A+
    Digital crown은 시계 오른쪽에 달린 조그만 다이얼로, 여기에 손가락을 대고 심박수를 측정하면, 뒷면에 있는 전극에서 심장과 양팔 사이에 폐회로가 생겨 가슴에 흐르는 전기 ... (가) 홈네트워킹과 스마트홈에 대하여 설명하라.① 홈네트워킹의 사전적 의미로는 PC, 휴대 전화, Digital TV, 게임기 등 가정 내의 정보 기기들 사이에 네트워크를 형성해 디지털 ... , Digital Crown 등의 입력 장치가 있다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    [표 1] 디지털 시계에서 구현할 기능2. 실험 이론2.1. ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2) ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 저항기 색 코드와 저항값 측정/ 전전실/ 한기대
    장비 및 재료1) 디지털 멀티미터(Digital Multimeter)2) 빵판(Bread Board)3) 와이어 스트리퍼(Wire Stripper)4) 고정저항: 470ohm, 1.5k ... 분압기의 축을 반시계 방향으로 완전히 돌린다. ... 회로 내에 저항기를 삽입함으로써 단락회로와 유사한 효과를 얻을 수 있는가?1) 불가능하다. 단락회로에서 저항과 전압은 0이 되어야한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.05.05 | 수정일 2022.05.29
  • 전기회로 저항값측정 & 옴의 법칙 & KCL & KVL
    *실험 목적저항에 색띠를 읽는 방법을 익히고 회로에서 저항값을 측정하는 방법을 이해한다. ... 옴의법칙*실험목적회로에 흐르는 전류i는 전위차 , 즉 전압v와는 비례관계이지만 저항R과는 서로 반비례관계라는 것을 확인한다. ... 연결한상태에서 가변저항기축을 반시계에서 시계방향으로 돌리면서 저항값Rac의 변화를 확인한다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.23 | 수정일 2021.04.15
  • 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계의 설계를 진행한다.? ... [프로젝트 개요]디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart(1) 시/분/초 표시 기능? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다.?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    어렸을 때 우리는 손목시계를 열심히 읽는 듯한 손목시계를 가리킨다. 디지털 시계는 내부에 태엽이나 기어가 없고 배터리나 수정진동자 등 전자회로로만 구성된 전자시계다. ... 디지탈 손목시계는 시간을 표시하는 부분에 발광다이오드나 액정을 사용한다. ... 디지털과 아날로그디지털(digital) 사전은 손가락을 나타내는 라틴어 숫자(digit)에서 유래했다는 뜻이다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    BCD디코더를 활용한 예로는 디지털 시계가 있다. 평소에 자주보는 디지털 시계가 BCD디코더로 이루어져 있다. ... 차이점은, 실험에서 한 BCD디코더는 입력을 직접 주었지만 시계는 플립플롭이 추가로 들어가있어서 내부clock에 따라 입력값이 변한다는 것이다.이번 실험을 통해 디지털공학과 논리회로설계에서 ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 2023상반기 LG전자 합격 자소서
    이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다.2) 디지털 시스템 설계 A+VHDL을 이용해 디지털 시계 entity와 내부 아키텍처 ... 이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다.3) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... 제 다음과 같은 역량을 발휘해 '일등제품' 개발에 기여하며 LG전자의 경쟁력 강화에 보탬이 되고자 지원했습니다.저는 아날로그 회로실험, 디지털 시스템 설계 등 학부 수업에서 다양한
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    많은 종류의 전자 회로는 어떤 형태의 산술연산을 계산하는 데 필요한데, 심지어 디지털 시계에 있는 작은 회로조차도 현재 시간에 1을 더하고, 언제 알람을 울려야 하는지를 검사하는 작은 ... 회로이다. ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    회로는 LED 또는 LCD같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데, 시계나 전자 계산기 등이 좋은 예이다. 7-세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 ... 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator)디지털 ... 신호가 회로에 인가되어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    예를 들어, 동기 신호를 사용하여 시계 신호에 따라 동작하는 회로를 설계하거나, 비동기 신호를 사용하여 이벤트에 응답하는 회로를 설계할 수 있습니다. ... 예를 들어, 디지털 회로가 다른 회로나 장치와 상호작용하기 위해 어떤 신호와 프로토콜을 사용하는지 이해하고, 이를 HDL 코드로 표현해야 합니다.※ 석사 수준에서는 디지털 회로와 다른 ... )을 사용하여 디지털 회로를 기술하는 기술입니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... 조합 회로이다. ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... 하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... , BJT를 이용해 필터회로와 증폭기를 설계하고 테스트했습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 전기회로설계실습- 예비보고서 7 RC회로의 시정수 측정회로 및 방법설계
    : digital 또는 초침이 있는 analog 시계3. ... 시계를 이용하여 충전시간을 측정하거나 방전시간을 측정하는 방법을 설계하여 제출하라. ... 실험 예비 보고서Ch.6 RC회로의 시정수 측정회로 및 방법설계1. 목적주어진 시정수를 갖는 RC회로를 설계하고 이를 측정하는 방법을 설계한다.2.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02 | 수정일 2021.09.23
  • 기초전자회로실험 실험 9,10 예비보고서 (직류회로 설계 , 전압분할 회로)
    전압과 전류를 측정하여 기록한다.실험 준비물•DC 파워 서플라이 •디지털 멀티미터 •저항 •분압기고정형 전압 분할기 측정그림 10-5의 회로를 구성한다. ... 혹은 반시계 방향으로 최대한 돌렸을 때의 회로이고 오른쪽 그림은 분압기 가변 팔이 중앙일 때 이다.StepPosition ofArmMeasured ValuesCalculated ValuesVBC ... 위치를 조정해 저항비 R1/R2를 설정할 수 있으며 분압기에 걸리는 전체 전압 V를 임의의 전압으로 조절 가능하다.각 실험 과정에 대해 정리하시오.실험 준비물•DC 파워 서플라이 •디지털
    리포트 | 7페이지 | 1,000원 | 등록일 2022.05.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대