• 통큰쿠폰이벤트-통합
  • 통합검색(348)
  • 리포트(323)
  • 자기소개서(13)
  • 시험자료(10)
  • 방송통신대(2)

"디지털 시계 제작 과" 검색결과 81-100 / 348건

  • 오슬로스코프 사용법 실험 결 레포트
    아날로그 오시로프코스(단색 명암만 출력)와 디지털 오실로스코프(칼라출력)본 실험에서는 아날로그 오실로스코프를 사용한다. ... 최근에는 액정화면을 이용한 digital type의 오실로스코프가 출시되고 있는데 출력파형을 다양한 색상으로 표현할 수 있다.그림 1. ... Analog type의 오실로스코프 화면은 음극선관(cathode-ray tube, CRT)으로 제작되므로 출력파형은 단색으로 밖에 표현하지 못한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2015.12.06 | 수정일 2016.05.09
  • 디지털시계 Term Project
    디지털논리회로실험 Term Project디지털 시계전자공학금요일 5조- 목 차-1.개 요2.Block diagram3.회로 및 Simulation 결4.제작 및 검토사항5. ... 후 기6.참고문헌(1) 개요2005년 디지털 논리회로실험 Term Project의 주제를 디지털 시계로 정했다. ... 결 및 검토사항MAXPLUS2를 이용,회로를 구현하여 전송후 실험 KIT에 구현해 본 결, 의도한대로 디지털 시계를 구현할 있었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.02 | 수정일 2019.05.01
  • 경영전략 수업 제입니다. 2013년에 작성한 나이키(Nike)의 VRIO 모형 분석입니다.
    다른 브랜드들이 손목에 차는 기기라는 점 때문에 시계 모양을 고수할 때, 나이키는 팔찌처럼 디자인 했다. ... 그렇기 때문에 외부의 위협을 중화시킬 수 있는 가치 있는 핵심역량이다.경쟁사인 아디다스에서도 혁신제품을 내놓고 있지만, 기본적인 디자인 신발의 기능성 향상에 한정되어있다. ... 히트텍은 유니클로와 글로벌 섬유업체 도레이가 공동 개발한 초경량 신소재로 인체에서 발생되는 수증기를 열에너지로 변환하여 발열시키는 원리로 제작되어 이너웨어의[출처] Hyperlink
    리포트 | 10페이지 | 1,500원 | 등록일 2016.11.14
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    디지털시계이다.Mode 1 : Stop WatchTime을 기록하는 기능으로 Lap_time을 기록하는 기능을 포함한다.스위치가 5개 있는데 1번 스위치로 스타트하게 된다.Lap ... 실험 이론이번 실험에서 우리는 여러가지 모드로 동작하는 타이머를 제작하기로 했다. ... 목적Microprocessor 실험 및 설계 목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 Digital
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 스마트폰의 최신동향, QR코드
    아직까지 이 방식은 커다란 크기를 만들기 어려워 작은폰 카모듈에 우선적으로 쓰이고 있지만 나중에는 모든 디지털 카메라 영역에 확산될 것으로 보인다.5. ... 이밖에도 스마트폰에서는 GPS를 활용하여 지도정보를 제공하고 다른 친구들에게 자신의 위치를 알려 줄수 있고 높은 해상도의 사진 비디오를 찍을 수도 있다. ... 폰안에는 시계, 계산기, 노트, 전자메일, 게임, 팩스와 메모를 작성할 수 있는 기능등이 있다. 그 다음은 1993년 최초의 개인용 단말기 PDA인 뉴턴이 만들어 졌다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2017.06.09
  • 음성인식 선풍기 PPT
    조 모임 및 활동 외부 업체 설명회 참석 디자인 공학 방문 디자인 공학 방문 설계도 작성 및 회의10. ... 선풍기 전등 에어컨 시계 기타 선풍기와 에어컨의 음성제어가 가장 많았고 , 전등의 점 , 소등 기능 , 시계 알람의 ON, OFF 기능이 뒤를 이음 17 명 11 명 16 명 6 명 ... 음 성 신호처리 인식결 언어학적 유사성 분석 소리 유사성 분석 음성 특징 추출 디지털 증폭처리 특징 변환 상태 판단 문장 판단 소리 DB 발 음 언어 DB04.
    리포트 | 19페이지 | 5,000원 | 등록일 2015.07.07 | 수정일 2017.02.02
  • 디지털시계,digital clock 프로젝트
    목적실험 시간을 통해 배운 AVR을 응용하여 AVR 관련이 있는 마이크로프로세서 89S51 칩을 사용하여 Digital Clock을 제작한다. ... 또한 어댑터를 사용할 경우에는 다이오드를 이용하여 전압을 낮춘다.FND와 LED에 전류를 방지하기 위해 저항을 연결하였고. ... FND1, FND2 모두 블랭크인 경우t = y & 0xF.0 P3.1을 읽어 동작모드 결정if (c == 3) { // P3.1 = 1, P3.0 = 1clock_mode();// 시계모드
    리포트 | 20페이지 | 1,500원 | 등록일 2017.04.02
  • 8051 디지털시계 제작
    8051 디지털시계 제작- 실험 결보고서 -Ⅰ. 디지털시계의 개요ⅰ. 개요A. 디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 제작하게 하였는데, 이 시계는 높이가 3 m나 되며 현존하는 시계 중에서 가장 오래 된 기계시계이다. ... .- analog0 1이라는 신호 체계로 구성된 디지털는 달리 전압이나 전류처럼 연속적으로 변화하는 물리량을 표현한다.
    리포트 | 20페이지 | 3,000원 | 등록일 2012.07.03
  • Robot의 기술현황 및 미래의 전망
    그러나 이들 자동인형의 제작학기술의 진보에 직접적으로 기여하지는 못하였다.오늘날의 산업용 로봇 장치의 최초 원형은 자동으로 스스로를 재순환시키는 사이펀 원리를 이용하여 모래시계를 ... 개량한 물시계이다. ... 또 어떤 로봇은 전자 디지털 카메라가 설치되어 있어 자동차 차체의 치수가 명시된 표준규격에 맞는지를 조사한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2013.12.04 | 수정일 2014.12.22
  • 배움 사이버 평생교육원 경영정보시스템 (지난 24개원 동안 우리에게 있어 가장..)
    한국뿐만 아니라 세계에도 사용가능 업체를 늘린다고 하니 유용성은 배가 될 것으로 보인다.세 번째로 선정한 정보기술은 애플워치이다.애플워치는 아이폰 연동하여 사용하는 디지털시계로 굳이 ... 무거운 휴대폰을 꺼내지 않아도 어느 정도 휴대폰의 기능을 할 수 있도록 제작되었다.본론윈도우10마이크로소프트사의 새로운 운영체제 신작인 윈도우10의 장점은 가벼움에 있다. ... 생각하는 정보기술 3가지에 대해 선정이유와 근거를 설명해보겠다.우선 첫 번째로 선정한 정보기술은 마이크로소프트사의 새로운 운영체제인 윈도우10이다.마이크로소프트사는 이례적으로 윈도우7
    리포트 | 4페이지 | 2,000원 | 등록일 2015.11.06 | 수정일 2016.06.02
  • 2학년 기초전자회로실험 저항색 색 코드와 저항값 측정
    분압기의 축을 반 시계 방향으로 완전히 돌린다. ... 또한 군사용 사양으로 제작된 저항기들도 5개의 색 띠를 갖는다. ... 디지털멀티미터가 디지털로 정확하게 나와있어서 실험에 대한 오차범위가 적었던 것 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • <컴퓨터 구조론> - 디지털 논리이론
    Chapter 01디지털 논리회로Computer Aechitecture디지털 논리회로논리회로 컴퓨터 내부에서 2진수를 전기적으로 표현하고 처리하기 위해 2진수의 0 1을 나타내는 ... MOS 반도체에서 p채널 트랜지스터와 n채널 트랜지스터를 접합하는 상보회로를 구성한 반도체 제조 방법 TTL에 비해 동작 속도는 늦으나 집적도가 높고 소비전력이 매우 적어서 손목시계나 ... 부품을 목적에 맞게 배선하여 회로를 구성한 전자부품 집적회로의 제조 기술에 따른 분류 TTL(Transistor-Transistor Logic) 양극성(bipolar) 소자를 기초로 제작
    리포트 | 189페이지 | 3,000원 | 등록일 2015.09.16
  • 웨어러블 디바이스 동향 및 시장전망
    시티젠 사이언스프랑스 기업 시티젠 사이언스는 셔츠에 디지털 센서를 장착한 디지털 티셔츠 ‘스무지’를 선보였다. ... 젠워치는 구글 파트너십으로 제작되었고 구글의 모바일 운영체제 안드로이드 웨어를 탑재했다. 특별히 개발된 ‘아수스 젠UI' 사용자 인터페이스가 적용되었다. ... 마이크로소프트, 삼성전자, 델, 인텔 등은 웨어러블 디바이스 시장에서 치열한 각축전을 벌이고 있다.이렇게 웨어러블 디바이스는 시장이 점점 확대되고 있지만 스마트워치에 편중된 유사한 디자인
    리포트 | 16페이지 | 1,000원 | 등록일 2015.02.09 | 수정일 2015.02.16
  • TTL IC를 이용한 디지털 시계
    TTL IC를 이용한 디지털 시계제Ⅱ부 디지털회로 실험 및 설계74LS390을 이용하여 10진 카운터를 구성하고 74LS390 74LS08을 이용하여 6진 카운터를 구성한 다음 이를 ... 시계제작하기위해서는 분 초를 구현할 때 필요한 60진 카운터가 필요하다.실험18. ... 실험18TTL IC를 이용한 디지털 시계1) 74LS390의 CKA와Q_D 단자를 동시에 측정하고 이들의 파형을 그려라.표 18-2단 자파 형CKAQ_D2) 그림 18-2의 60진
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.09
  • 액-액 추출법
    제작하였으며 다공판의 재질은 Teflon으로 용매에 견딜 수 있는 재질로 만들었다. ... 액-액 추출화학공학실험 1조바이오 화학공학A892030 정준영B092030 유동권B292047 최분홍목 차실험목적이론실험결 및 고찰참고문헌실험 목적Acetic acid와 Kerosene을 ... 초시계와 메스실린더를 사용하여 실제유량을 측정한 후 실험에 임하도록 한다.계면조절용 용기의 구성경액 혹은 중액의 공급유량에 따라 추출탑 상단부에 계면을 조절하여 줄 필요가 있다.
    리포트 | 13페이지 | 1,000원 | 등록일 2015.07.07
  • 국내 완구산업 내 경쟁 분석 및 전망
    그 뿐만 아니라, 레고 파생상품들(열쇠고리나 탁상시계 등등)마저 규격이 맞아떨어진다. ... 전문가들은 현대인들의 삶이 날로 각박해지면서 어릴 적 감성으로 돌아가 정서안정 스트레스 해소를 추구하는 일부 어른들의 욕구가 디지털 문화와 맞물리면서 출현한 것으로 풀이한다. ... (30년의 역사를 영실업 함께해온 스테디셀러 쥬쥬)4.KONGSUNI(아이들의 건강한 성장을 지원하는 역할 놀이완구)- 영실업 재무현황- 영실업 향후 전망영실업은 또봇 애니메이션
    리포트 | 19페이지 | 3,500원 | 등록일 2016.06.12
  • 1장 . 디지털 논리회로 예비지식
    실험 목적▶ 보고서 작성 간략화▶ 이론검증 실험▶ 자발적인 발표수업▶ 협력 토론에 의한 Know-how 터득▶ 참여 학생 동기부여▶ 창의적 디지털시스템 설계 및 제작 수행능력2. ... 신호 및 회로-단위 변화량에 대한 정수 배로 변화하는 양- 단위 변화량은 디지털시스템의 분해능 관련 있음- 전압이 낮은 상태(0)와 전압이 높은 상태(1)- 기본 구성 : 0 1의 ... AND gate) logic diagram and pin number display- 2단자 입력 1단자 출력- 4개의 AND gate로 구성- O 표시가 있는 1변 핀을 기준을 반시계방향으로
    리포트 | 8페이지 | 1,000원 | 등록일 2013.10.15
  • 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 디지털시계의 개요ⅰ. 개요ⅱ. 목적ⅲ. 제작Ⅱ. TTL Clock 제작 회로도ⅰ.전체 회로도 분석ⅱ. 회로도 해석Ⅱ. TTL Clock 제작 부품ⅰ. ... 제작후기디 지 털 시 계 제 작디지털 시스템 (주간)김혜종, 박미란Ⅰ. 디지털시계의 개요ⅰ. 개요A.
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • LCD의 모든 것(LCD MODULE 포함)
    , 디지털액자, 캠코더, 프린터, 복합기, MP3, 디지털카메라, 오디오,스마트폰, 태블릿PC, 모니터, PC, 노트북,헬스케어 - 초시계, 신장계, 체지방계, 체중계, 혈압계, 귀체온계 ... 아래의 같이, ‘OFF’상태는 전압을 가하지 않은 상태를 나타내며, 이때는 빛이 통한다. ... 손목시계, 전자계산기처럼 간단하고 작은 장치에는 만들기 쉬운 수동형을 사용한다.
    리포트 | 5페이지 | 2,500원 | 등록일 2013.05.11
  • 디지털공학 실험 디지털시계보고서
    목 명디지털 실험담당교수결보고서[9.디지털 시계]학 :학번 :이름 :【1. ... 실험목적】동기 n진 계수회로의 구성원리를 사용하여 생활 속에서 사용되고 있는 각종 계수기의 기본인 디지털시계제작한다.【2. ... 관련이론】① 디지털시계의 구조주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대