• 통큰쿠폰이벤트-통합
  • 통합검색(6,393)
  • 리포트(5,745)
  • 자기소개서(343)
  • 시험자료(152)
  • 방송통신대(105)
  • 논문(34)
  • 서식(7)
  • 이력서(3)
  • ppt테마(2)
  • 노하우(2)

"디지털시스템실험" 검색결과 81-100 / 6,393건

  • A+ 디지털 시스템 실험 Random Access Memory (RAM) <9주차 예비보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Random Access ... Memory (RAM)실험목표① 16×4RAM(Random Access Memory)를 설계한다.② 자율적으로 메모리를 활용한 새로운 모듈을 설계한다.기본지식1. ... 우변에는 레지스터, Wire,Parameter 형을 모두 사용할 수 있으며 산술.논리.조건 연산식을 사용할 수 있습니다.실험방법1. 16×4 RAM 메모리를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 Simple Computer – Data Path <10주차 결과보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Simple ... Data Path실험목표① 컴퓨터 시스템의 기본적인 구조를 이해한다.② DATAPATH를 설계 및 구현하고 검증한다.실험결과1. ... Simple Computer의 Microoperation을 수행하기 위한 Data Path를 설계해 보는 실험이었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.07.05
  • 디지털 시스템 실험 Simple Computer 2 - Control Unit 예비보고서
    디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목Simple Computer - Control Unit실험목표1. ... Instruction Set Architecture(ISA)프로그래밍 가능한 시스템은 Instruction의 순차적인 수행을 통해 Operation을 제어한다.하나의 Instruction은
    리포트 | 7페이지 | 1,000원 | 등록일 2016.04.08
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 결과보고서
    디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목Simple Computer - Data Path실험목표1. ... 다음으로는 reg3에 저장된 값에서 reg1에 저장된 값을 빼서 reg2에 저장하는 연산을 수행한다.디지털 시스템 설계 및 실험 ... 컴퓨터 시스템의 기본적인 구조를 이해한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2016.04.08
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목Simple Computer - Data Path실험목표1. ... 컴퓨터 시스템의 기본적인 구조를 이해한다.2. DATAPATH를 설계 및 구현하고 검증한다.배경지식1. ... Computer System폰 노이만 구조 (Von Neumann Architecture)폰 노이만 구조는 다음과 같은 요소들로 구성된다.(1)CPU(Central Processing
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 예비보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Sequential Circuit ... 이 카운터는 시스템을 설계하는데 중요하다. 시스템에 필요한 주파수를 생성하는 부분에서도 이 카운터가 사용되고, 데이터의 흐름을 나타내는 곳에서도 카운터가 사용된다.2. ... [그림 4] UP/DOWN 카운터 블록 다이어그램[그림 5] UP/DOWN 카운터 Timing Diagram실험방법1.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 예비보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Latch & Flip-Flop실험목표① ... B는 4분주, C는 8분주, D는 16분주되어 출력되고 있다.실험방법1.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 기본적인Combinational Circuit <3주차 결과보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목기본적인Combinational ... 설계한다.실험결과2-to-4 라인 디코더이다. 00일 때부터 11일 때의 D0~D4 출력 값 을 보여주고 있다.3-to-8 라인 디코더이다. 000일때부터 111일때의 D0~D7 ... Circuit① Decoder 설계② Binary-to-BCD Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor
    리포트 | 4페이지 | 1,500원 | 등록일 2017.01.25 | 수정일 2017.07.06
  • A+ 디지털 시스템 실험 Sequential Circuit 설계 및 구현 <7주차 결과보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Sequential ... 6주차 실험 결과를 이용해 Up/Down 카운터를 설계하고 이를 응용하는 회로를 설계해 보는 실험이었다. ... Circuit 설계 및 구현실험목표① 동기식 UP/DOWN 카운터를 설계한다.② 카운터를 이용한 Sequential Circuit을 설계한다.실험결과1.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 결과보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목Latch ... CLR을 버튼 스위치로, 출력은 7 segment 1 digit로 핀 설정을 하고 CLR이 1일 때(버튼 스위치를 눌렀을 때) clock에 따라 0부터 9까지 순차적으로 변화하도록 ... & Flip-Flop실험목표① SR Latch를 설계한다.② SR Latch를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop을 설계한다.③ D Flip-Flop을
    리포트 | 11페이지 | 1,500원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 기본적인Combinational Circuit <3주차 예비보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름학번실험제목기본적인Combinational ... 설계한다.기본지식① Decoder디지털 컴퓨터에서 정보의 이산 적인 양은 2진 코드들로 나타내어진다. n비트 2진 코드는 코드정보의 별개 요소들로 표현되는 2 ^{n}개를 수용할 ... Circuit① Decoder 설계② Binary-to-BCD Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor
    리포트 | 5페이지 | 1,000원 | 등록일 2017.01.03
  • [디지털시스템실험(Verilog)] Multiplexer 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목Multiplexer실험목표① 128- ... to-4 Multiplexer를 hierarchy하게 설계한다.② Processor의 Logical Unit들을 설계한다.실험준비물ModelSim(HDL Simulator)기본지식① ... 그러나 output인 Y는 여전히 한 개다.여기서 오늘 실험에서 다룰 MUX들의 공통적 구조를 살펴보면, ‘n to 1 MUX’에서 n은 input의 개수를 나타낸다. 1은 output을
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Register 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Register실험목표① ... 구현하여야 하는 D Flip-Flop은 Positive edge asynchronous reset D Flip-Flop이다.디지털시스템 교과서에서는 D Flip-Flop을 D Latch ... (HDL Simulator)기본지식① SR Latch의 설계이번 실험에서 쓰이게 될 SR Latch는 NAND게이트로 구현해야 하며, D Flip-Flop의 구현에 사용되어야 하므로
    리포트 | 3페이지 | 1,500원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Decoder 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Decoder실험목표① ... PICO Processor의 Decoder를 구현한다.실험준비물ModelSim(HDL Simulator)기본지식① Instruction DecoderDecoder(이하 디코더)는 ... Fetch로부터 명령어(instruction)을 받아서 해석하는 모듈이다.실험에서 구현할 디코더는, 8bit의 Opcode를 받아 Execution이 동작하도록 하는 5bit의 ControlCode
    리포트 | 3페이지 | 1,000원 | 등록일 2011.10.05
  • 디지털 시스템실험-Multivibrator
    과 목 : 디지털 시스템실험-Experiment 12Multivibrator목적? 비안정 멀티바이브레이터의 동작 특성을 배운다.? ... 이 멀티 바이브레이터는 디지털 시스템에서 2진수는 저장하고 발진을 하며 구형파의 신호(clock pulse)를 발생시키고, 펄스의 수를 세며 연산을 동기화 한다.이번 실험에서 주되게 ... 비안정 모드에서 사용된 555타이머의 동작 특성을 실험적으로 확인한다.? 단안정 멀티바이브레이터의 동작 특성을 배운다.?
    리포트 | 3페이지 | 1,000원 | 등록일 2009.06.29
  • 디지털 시스템실험Experiment 5
    과 목 : 디지털 시스템실험Experiment 5 Report목적? 조합 논리회로의 반가산기와 전가산기의 동작원리 및 특성을 확인한다.? 반가산기의 동작을 확인한다.? ... 솔직히 실험 당시에는 이론을 배우지 않은 상태라서 독학 후 실험에 임해서 그런지 회로와 전가산기 반가산기가 잘 이해되지 않았다. ... 전가산기와 반가산기 회로를 설계하여 보고 입력변수를 달리해가며 출력되는 합과 캐리의 값을 확인해보는 실험이었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2009.06.29
  • 디지털 시스템 실험 FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 방법 이해 결과보고서
    디지털 시스템 설계 및 실험 결과 보고서작성자:실험조:실험일:실험제목: FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 방법 이해실험목표: FPGA와 Verilog가
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.08
  • [디지털시스템실험(Verilog)] Memory Controller 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Memory ... Controller실험목표① PICO Processor의 Memory Controller를 구현한다.실험결과① Memory Controller의 시뮬레이션 결과Memory Controller의
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 디지털 시스템 실험 레포트
    ③ NOR gate)를 이용하여 XOR gate를 대체하는 방법을 실험을 통하여 실험하는 것이었다. ... 첫 실험은 기본 논리 게이트를 이용한 XOR gate의 구현으로 실험 1-1는 AND, OR NOT gate만을 이용하여 XOR gate를 구성하였다. ... 이는 유니버셜 게이트인 XOR gate의 구성 및 내부를 알 수 있는 단순하고 간단한 실험이었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2006.09.15
  • [디지털시스템실험(Verilog)] Memory Controller 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Memory ... Controller실험목표① PICO Processor의 Memory Controller를 구현한다.실험준비물ModelSim(HDL Simulator)기본지식① Memory ControllerMemory
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대