• 통큰쿠폰이벤트-통합
  • 통합검색(4,164)
  • 리포트(3,708)
  • 자기소개서(222)
  • 시험자료(142)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지탈논리회로" 검색결과 941-960 / 4,164건

  • 논리회로및실험 레포트
    논리회로및실험 결과레포트20000001 임0000000000학부1. ... 고찰:논리 회로를 제대로, 다양한 역할을 가진 상태로 수행하도록 하려면 정말 많은 장비와 기술들이 필요하다는 것을 알게되었다. ... 같 은 회로를 구성하고 있습니다.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    래더다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가 ... 논리회로의 형태와 같은 구조를 기술하는데 필요한 수학적 이론으로 변수들의 입출력관게를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참과 거짓의 두 값만을 가지는 변수이다.이름기호예위치ANDBULLET ... 디지털 회로이론에서는 그래프 분석기법인 카르노맵과 같은 방법을 사용하여 논리식을 단순화한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    일반적으로 Xilinx ISE를 이용해 FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 디지털 ... 예상 결과-본 실험은 Xilinx ISE프로그램을 이용하여 논리회로를 구현하는 실험이다. 따라서 결과는 복잡한 실험계산이 아닌 비교적 단순한 0과 1의 출력값을 갖게 된다. ... 따라서 캐리의 전파 지연을 단축시키기 위해 부가적인 회로를 추가하는데 몇 가지 방법 기법 중 광범위하게 쓰이는 것은 캐리 룩어헤드 논리(carry lookahead logic)의 원리를
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다. ... 다음 그림은 이 J-K 플립플롭을 통한 주파수 분할에 대한 논리 회로를 나타낸 것이다. 3개의 J-K 플립플롭이 사용된 카운터로 3비트 2진 카운터라고 한다.Q0 플립플롭에서 발생한 ... 위의 논리 회로의 결과는 다음과 같다.위의 파형에서 알 수 있듯이 외부의 클럭 입력 주기를 tCLK라고 할 때, Q0의 출력 결과의 주기 tQ0는 tCLK의 2배의 길이를 갖는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 3장 진리표 예비
    조합논리회로 설계조합논리회로는 입력의 조합에 의해서만 출력이 결정되는 회로이다. ... 부울대수의 기본 논리 연산과 정리를 이해한다.나. 논리회로를 이용하여 논리식을 표현하고, 회로를 간략화하는 방법을 공부한다.다. ... (A'B'C + A'B)' = A + (BC)' 의 관계식을 증명하고, 이를 논리회로로 표현하라.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 12주차 최종본, 오실로스코프와 파형발생기
    TTL 및 CMOS 논리 신호도 제공되므로 디지털 회로 분석에도 용이하다.실험보고서실험주제오실로스코프의 동작 원리와 사용법을 익힌다.파형발생기의 사용법을 익힌다.1.2 실험 장비실험기기오실로스코프1대파형발생기1대1.3 ... 제공되는 파형의 주파수는 아주 낮은 범위에서 높은 범위까지 가변 될 수 있어서 회로 시스템의 주파수 특성을 분석하는데 좋은 신호 제공기가 된다.디지털 회로에서 입력파형으로 요구되는 ... 이 장비는 회로 또는 시스템의 동작 특성 정보를 전압 신호로 나타내어 눈으로 볼 수 있게 하지만, 보통 멀티미터는 이러한 기능이 없다.
    리포트 | 14페이지 | 1,000원 | 등록일 2022.06.08
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    , 전력시스템공학1,2, 신호및시스템1,2, 디지털논리회로1,2, 고주파공학1,2, 마이크로프로세서1,2, 인공지능시스템1,2, 무선이동통신공학1,2, 디지털VLSI1,2 등의 수업을 ... 학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 전기전자공학부에서 전자기학1,2,3, 창의공학설계, 회로이론1,2, 응용전자전기실험1,2, 전자전기설계1,2, 전자회로1,2 ... 에지 장치를 위한 무선 채널 적응형 DNN 분할 추론 연구, 단극성 유기 반도체 소자의 전기적 특성에 대한 트랩 위치의 영향 분석 연구, 범용 장치를 위한 프로그래밍 가능한 광자 회로
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.05.28
  • 연세대학교 일반대학원 컴퓨터과학과 학업계획서
    저는 OO대학교 컴퓨터공학과에 재학을 할 때 확률통계, 컴퓨터과학입문, 논리회로설계, 디지털논리회로실습, 인터넷프로그래밍, 컴퓨터시스템, 자료구조, 운영체제, 컴퓨터그래픽스, 컴퓨터아키텍쳐
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.25
  • 패리티체크 verilog 설계
    제목패리티 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신 측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1’의 개수를 카운트하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용..
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 병렬-직렬 변환회로 verilog 설계
    제목병렬-직렬 변환회로 설계실습 목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. ... 따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로도 설계할 수 있다. ... 병렬-직렬 변환회로를verilog로 설계한 코드spConverter.vtb_spConverter.vmodule spConverter (clk,clear, si, qout);input
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 업다운 카운터 verilog 설계
    제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 이 실습을 통해서는 상태 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.실습 내용실습결과Verilog설계-BCD 동기식 카운터의 상태도- BCD 가산기의 Verilog
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 크기비교기 verilog 설계
    제목 - 크기비교기 설계실습 목적크기 비교기 회로는 두 수 중에서 한 수가 크고, 같고, 작다는 것을 결정하는 회로이다. 이 회로는 조합논리회로이며 두 수를 비교한다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한양대학교 일반대학원 전기공학 학업계획서
    저는 O년간의 학부 생활 동안 전자기학1, 디지털논리회로, 전자회로1, 신호및시스템, 전력전자, 제어공학, 지능제어, 디지털제어공학, 전력공학 등의 전공선택과목을 수강하였습니다. ... 초전도체와 압전소자를 이용한 발전시스템의 개발, 전력용 반도체를 이용한 전력변환회로에 대한 해석, 전력전자시스템의 고밀도화, 효율 향상에도 기여하는 연구를 해보고 싶습니다.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2021.07.25
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 7세그먼트FND디코더 verilog 설계
    제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다. 이 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자..
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 우선순위 인코더 verilog 설계
    제목인코더 설계실습 목적및 배경인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다. 일반적인 인코더의 문제점은 8개의 입력에서 2개 이상의 입력이 ‘1’로 되었을 때 가각에 해당하는 인코딩 결과를 모두 출력된다는 것이다. 따라서 이번 실습에서는 두 개 이..
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • BCD가산기 verilog 설계
    제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. 일상생활에서는 10진수를 사용하지만 디지털 연산은 2진수를 기반으로 하므로, 디지털 연산에 의한 결과는 10진수로 변환되어야 한다. 이 실습에서는 BCD로 입력되는..
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 스마트키즈센터 시장조사 및 기획
    교육 제공 - 교육용 언어인 스크래치 를 통해 스스로 이야기를 구성하고 게임을 제작함 방학 SW 캠프는 5-6 학 년 대상 SW 응용 교육 프로그램도 같이 제공 - 아두이노 ( 회로 ... 초 ∙ 중학생에 방과후교실 (10-16 주 ) 과 캠프 (3 박 4 일 ) 형태로 SW 교육 제공 - 스크래치 ( 프로그래밍 언어 ), 러플 ( 로봇 ), makING 보 드 ( 회로 ... 전달 - 발표 , 토의 , 토론 등 의사표현 및 소통 능력 * 음성 ( 소리 ), 사진 , 애니메이션 , 동영상 등 정의 주요 교육내용 배양되는 소양 / Skill 설득력 ( 설득 논리
    리포트 | 19페이지 | 2,000원 | 등록일 2023.04.16
  • [응용 전기전자 실험] D / A 변환기 예비보고서
    따라서 000 -> 001 -> 010 -> 100 -> 000 으로 논리회로가 작동하게 되어있다. ... 래더형 D/A 변환기를 수식을 활용하여 증명하시오.: 위 그림은 래더형 D/A변환기의 기본구성을 나타낸 것으로 R과 2R의 래더형 저항회로와 연산증폭기를 이용한 비반전회로로 구성한다 ... 이러한 방법에는 자리값을 갖는 저항 회로를 이용한 래더형 D/A 변환기와 전압 가산형 D/A 변환기가 있다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.12
  • 함수발생기와 오실로스코프-예비보고서
    주파수 특성을 분석하는데 좋은 신호제공기가 된다.디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.- 녹색 LED 디스플레이 ... 오실로스코프는 회로에서 일어나는 것을 시각적으로 보여 준다.오실로스코프는 전자 회로에 대해 다음의 정보를 제공할 수 있다.1. 신호의 주파수2. 신호의 기간3. ... 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다.오실로스코프오실로스코프는 전자 장치 및 회로 작업에서 사용할 수 있는 가장 기능이 많은 테스트 장비이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.04
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대