• 통큰쿠폰이벤트-통합
  • 통합검색(2,708)
  • 리포트(2,253)
  • 시험자료(212)
  • 자기소개서(131)
  • 방송통신대(88)
  • 논문(20)
  • 서식(2)
  • 이력서(1)
  • ppt테마(1)

"벡터방식" 검색결과 881-900 / 2,708건

  • 그래픽스 개론 기출 문제 정리
    일시에는 서로 같은 것(연결)이며, 4방향 이면 서로 다른 것(단절)이 된다.만약 내부끼리 8방향이면 테두리는 4방향이며, 내부끼리 4방향이면 테두리는 8방향이 된다.23.시드 채우기 방식은 ... 여러 개의 변환을 합성 변환으로 표현하는 것으로하나의 합성변환을 미리 계산하고 이를 수많은 점에 적용하여 한 번에 처리 할 수 있어서43.2차원 래스터 변환에서 BitBlt 방식 설명하라.프레임 ... 투영과정을 용이하게 처리하기 위해 월드좌표계를 뷰잉좌표계로 변환하는 과정부평면의 축 벡터와 법선벡터를 이용하여 설정 한다.원점 : 뷰 평면 상의 한 점, Normal 벡터 : z축
    시험자료 | 10페이지 | 2,000원 | 등록일 2015.06.06 | 수정일 2020.02.16
  • 슈퍼컴퓨터의 역사와 구성
    벡터 프로세서는 한 명령어에 벡터 데이터를 한꺼번에 동시 처리하는 벡터 프로세싱이 가능하며, Cray vector 프로세서가 이 범주에 속한다.(2) 메모리 접근 방식에 따른 분류공유 ... 프로세서 : 벡터 프로세서는 벡터 데이터 혹은 배열 데이터의 고속 수치연산을 위해 개발된 전용 프로세서이며, 규모의 경제성 측면에서 보면 스칼라 프로세서에 비해 상대적으로 매우 고가의 ... 전체 시스템을 구성하는 각각의 단일 시스템인 SMP 노드 내에서는 UMA 공유메모리 방식으로 동작할 수 있고, 전체 노드들 간에는 분산메모리 방식으로 동작할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.18
  • Orifice meter&Venturi meter 예비레포트
    pressure type flowmeter)차압식 유량계는 유체가 흐르는 관의 일부에 압력 측정 장치를 설치하면 유체가 이 장치를 통과하면서 발생한 차압을 이용하여 유량을 측정하는 방식을 ... roundx }i+ { round} over {roundy }j+ { round} over {roundz }k) ………………… (2)rho : 유체의 밀도(kg/m^3)V : 3차원 속도벡터
    리포트 | 11페이지 | 2,000원 | 등록일 2019.08.12 | 수정일 2020.06.18
  • 도시계획기사 필기(part1-5 요약정리)
    , 자료의 기본단위가 클수로 정밀도↑-벡터자료: 벡터방식으버: 공,상업적 취락지-휘레이: 진보된 인간의 결합형태-코퍼: 도시계획을 전제로 한 계획된 지역사회-워스: 사회학적 측면의 ... 작용하는가에 관한 이룬-계획대상에 관게없이 계획활동자체가 추구하는 이념, 목표, 원칙에 따라 절차 및 제도적 장치등에 관한 일반적인 이론#GIS특징(위치자료,특성자료)-래스터자료: 격자방식
    시험자료 | 20페이지 | 3,000원 | 등록일 2019.03.19
  • 자동 항법 쿼드콥터 제작 과정
    용어 정리- PID controlP(비례), I(적분), D(미분)의 3항 동작을 조합시켜서 사용하는 제어 방식. ... 그리고 쿼드콥터와 목표지점까지를 B벡터로 표시를 해서 두 벡터의 합성을 구하면 그림에서 보이는 식이 나온다. ... 그럴 때 첫 번째로 쿼드콥터와 진행경로와 가장 가까운 직선을 그어서 A벡터로 표시한다.
    리포트 | 18페이지 | 15,000원 | 등록일 2016.04.09
  • 타이머와 PWM 예비보고서
    관련이론2.1 PWM: Pulse Width Modulation의 약자로 펄스폭을 전송하고자 하는 신호에 따라 변화시키는변조방식이다. ... 핀에 연결된 외부 클럭 소스, 클럭은 하향 에지에서 동작111T1 핀에 연결된 외부 클럭 소스, 클럭은 상승1B 플래그가 1로 설정되어 인터럽트가 발생하고, 이에 해당하는 인터럽트 벡터를 ... 이 상태에서 타이머/카운터n의 출력 비교 A 일치 인터럽트가 발생하면, TIFRn 레지스터의 OCF1A 플래그가 1로 설정되어 인터럽트가 발생하고, 이에 해당하는 인터럽트 벡터를 참조한다
    리포트 | 17페이지 | 1,000원 | 등록일 2015.11.09
  • 실험4 마이크로컨트롤러 Internal Memory의 이해 예비보고서
    .② 인터럽트(Interrupt)- MCU 자체가 하드웨어적으로 그 변화를 체크하여 변화시에만 일정한 동작을 하는 방식.4) 인터럽트의 사용하는 방식. ... ATMega128 인터럽트1) ATMega128 인터럽트① 차단 가능한 외부 인터럽트.② 리셋 포함 총 35개의 인터럽트 벡터를 가짐- 리셋 1개- 외부핀을 통한 외부 인터럽트 8개 ... 각각 개별적인 프로그램 벡터를 프로그램 메모리 공간 내에 가진다.⑤ 모든 인터럽트들은 개별적인 인터럽트 허용 비트를 할당 받는다.⑥ 특정 인터럽트를 가능하게 하려면 특정 인터럽트
    리포트 | 12페이지 | 1,500원 | 등록일 2015.09.15
  • 신사업 추진 현황 보고
    타겟 분야신사업은 회사가 벡터를 가지고 있어야 한다. ‘벡터’는 힘이 있는 물리적 방향을 뜻한다. 회사가 보유한 역량에서 출발하는 것을 ‘유기적’ 성장이라 한다. ... 포트폴리오 방식과 선택과 집중 방식이다.포트폴리오는 다양한 사업군을 보유함으로써 리스크를 줄이는 방법이다. 주식투자를 생각해보면 이해가 쉽겠다. ... 필요한 역량을 회사 내부에서 키워 새롭게 진출하는 방식이다. 두 번째 바로우(borrow)는 외부기업으로부터 원하는 역량을 빌려 오는 것이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.10.05
  • [컴퓨터구조] 중간범위 간단 정리
    쓰기(write)입출력 모듈에게 데이터 버스로부터 데이터를 받아서 주변장치로 보내도록 하는 명령이다.입출력 주소지정 방식기억장치-사상 방식 (memory-mapped)분리형 입출력( ... 관리Super Computer① 복잡한 계산 초고속 처리② 강렬한 병렬처리 지원Pipeline supercomputer(파이프라인)① 하나의 CPU 내에 다수의 연산 장치를 포함② 고속 벡터
    리포트 | 8페이지 | 1,000원 | 등록일 2019.04.01
  • 심전도 실습 보고서
    실습 방법은 피검자 이희경의 표준양극유도(lead Ⅰ, Ⅱ, Ⅲ), 증폭사지유도(aVR, aVL, aVF), 흉부유도(V1~V6) 총 12개의 유도를 측정하는 방식이다.Ⅱ. ... Electrical axis : 심실근(ventriculomyotom)이 흥분할 때, 심실 심근세포의 각각에 대한 활동전위에 의해 생성된 모든 벡터들의 총합계를 전기 축(Axis)이라고
    리포트 | 5페이지 | 1,500원 | 등록일 2019.07.02
  • 전력 3상평형
    `#a=Acos theta ,`b=Asin theta 이므로`직교좌표``표현방식인`복소수`#dot{A} =a+jb=A(cos theta +j`sin theta )와`같이`표현된다. ... 점선의 만나는 점과V _{A},V _{B}의 시작점이 만난 점을 잇고, 그점선의 만난 점에 화살표가 가도록 하면, 이것이 두 벡터의 합성 벡터가 된다.V _{C} 벡터와V _{A}+ ... 만나게 한다.V _{A}벡터의 종점에서V _{B}와 평행한 선을 점선으로 그리고V _{B}의 종점에서V _{A}벡터와 평행하게 선을 그리면 평행사변형이 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.05
  • 마이크로프로세서 텀프로젝트 - 농구점수판
    ① 외부 인터럽트· 일반적으로 말하는 인터럽트② 차단 가능 인터럽트· SEI(or EI) / CLI(or DI)③ 벡터형 인터럽트· 인터럽트 벡터에 의하여 인터럽트 서비스 루틴의 사용④ ... 요구에 의해서 정상적인 프로그램의 실행 순서를 변경하여 보다 시급한 작업을 먼저 수행한 후에 다시 원래의 프로그램으로 복귀하는 것리셋을 포함하여 모두 35종의 리셋 및 인터럽트 벡터를 ... 외부INT의 동작 엣지나 논리신호에 의해 인터럽트가 요청· 제일 우선 INTFn=1 상태로 플래그가 Set(1)· 메인 프로그램의 프로그램카운터 값을 스택에 저장· 그 후 해당 인터럽트 벡터
    리포트 | 20페이지 | 2,000원 | 등록일 2015.01.27
  • 인터럽트 조사
    * 인터럽트 벡터 내에 프로그램의 상태를 보존하는 방식. ... 인터럽트취급이 시작되면 IR와 D 플래그는 0으로 만들어야 한다.2) 하드웨어 방식(벡터 인터럽트 방식). 인터럽트처리 루틴이 필요 없고, 인터럽트 취급 루틴만으로 구성. ... 벡터 인터럽트(vectored interrupt) 방식:* 각 인터럽트를 요청하는 장치들이 운영 체제로 하여금 각기 다른인터럽트 취급 루틴으로 브랜치할 수 있는 정보를 제공하는 방식
    리포트 | 4페이지 | 1,000원 | 등록일 2013.07.30
  • [서평] 이념의 힘
    벡터의 방향을 일치시켜야 힘이 세진다.이 책을 읽는 내내 그런 생각이 들었다. 이념의 힘은 구성원간 소통방식이다.29쪽이다.PAGE \* MERGEFORMAT1
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.11.14
  • [서평] 완벽한 공부법
    나를 움직이게 하는 방식이다.충남도지사는 여자를 건드는 것이 모티베이션 이었을까? 그런 사람은 정치를 하면 안 된다. 그냥 한량으로 남았으면 명성을 얻었겠다. ... 벡터를 이해하기 위해 많은 노력을 했지만 지금도 이해하지 못한다. 강의를 서너번 반복해서 들었다. 교과서를 읽고 강의를 들었지만, 기출문제는 풀어보지 않았다.시험은 망했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2018.04.02
  • 건축 속의 영화
    탐구하기 시작하였고 도면들은 아이디어들을 발전시키는 도구였다 .Part.3 건축 속의 영화 건축의 “ 몽타주 (Montage)” _ 프로그램 표현 출발점은 건축공간 , 움직임의 벡터 ... - 이야기구조를 선호하는 부류 - 순수한 이미지 조합을 선호하는 부류 감독들이 영화를 풀어내는 방식 유형Part.3 건축 속의 영화 ? ... - 이야기구조를 선호하는 부류 순수한 이미지 조합을 선호하는 부류 → 카메라 기법에 치중 감독들이 영화를 풀어내는 방식 유형Part.3 건축 속의 영화 ?
    리포트 | 173페이지 | 6,000원 | 등록일 2018.09.27
  • MCU(마이크로 컨트롤러 유닛)인터럽트의 이해와 사용
    따른 분류 (1) 차단 가능 인터럽트 (2) 차단 불가능 인터럽트 인터럽트를 요구한 입 / 출력 기기를 확인하는 방법에 따른분류 (1) 조사형 인터럽트 (2) 벡터형 인터럽트인터럽트 ... 한다인터럽트의 종류 인 터럽트 발생원인에 따른 분류 (1) 하드웨어 인터럽트 1) 내부인터럽트 2) 외부 인터럽트 (2) 소프트웨어 인터럽트 인터럽트 발생시 마이크로세서의 반응 방식에 ... 레지스터인 EICRA 와 EICRB 레지스터 설정으로 가능하다 .External Interrupt Control Register B – EICRB INT4~INT7 의 에지 트리거 방식
    리포트 | 25페이지 | 1,000원 | 등록일 2018.09.11 | 수정일 2018.12.08
  • 대우조선해양 자소서, 생산관리 직무 자소서
    벡터해석, 미분, 적분 등 수학적 기법을 통해 정전계와 정자계 현상과 관련된 이론을 공부합니다. ... 가공 및 지중송배전선로 구성, 선로정수 계산, 접지방식, 유도 장해, 고장전류 해석에 관한 이론을 학습하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.11.12 | 수정일 2018.01.03
  • 데이지체인우선순위
    RF FF은 CPU가 벡터주소를 받은 충분한 시간 뒤에 리세트 된다. ... (가) Daisy-Chaining 우선순위 인터럽트를 발생하는 모든 장치들을 직렬로 연결하여 우선순위를 결정하는 방식이다. ... 각 장치는 지정된 벡터주소에 저장된 JUMP명령어를 통해 도달할 수 있는 자신의 서비스 프로그램을 갖는다.
    리포트 | 19페이지 | 1,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • 유전자 클로닝, gene cloning
    (Vector) 에 결합시켜 숙주세포 내에서 재조합 DNA 분자로 증식시켜 클론 (Clone) 을 만드는 기술 이 기술은 DNA 분자를 아주 정확 하고 재현성 있는 방식으로 절단하는 ... Database)Gene Cloning 사람을 위한 백신 생성 돌연변이 파악 단백질 생성 사람 유전체의 전체 염기서열 파악 많은 종의 유전체 정보 획득유전자를 가지고 있는 DNA 단편을 벡터 ... 것을 요구 Gene Cloning 정의 Gene Cloning * 벡터 (Vector) : 유전자를 숙주세포로 운반하는 운반체 (Vehicle) 역할Gene Cloning Gene
    리포트 | 12페이지 | 1,500원 | 등록일 2014.05.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대