• 통큰쿠폰이벤트-통합
  • 통합검색(2,610)
  • 리포트(2,318)
  • 시험자료(148)
  • 자기소개서(76)
  • 방송통신대(38)
  • 논문(14)
  • 이력서(9)
  • 서식(3)
  • ppt테마(3)
  • 표지/속지(1)

"program code" 검색결과 841-860 / 2,610건

  • java 이클립스 - android programming2
    2014. 12 . 10201011404나지원201011404 나지원1.TitleANDROID ROGRAMMING 22.Name201011404 나지원3.AbstractANDROID PROGRAMMING4 ... B.DataC.Discussion두 번째는 리스너 클래스를 이용하여 버튼을 누르면 메시지를 Toast하도록 하는 것이었다.자바와 마찬가지로 이벤트 리스너를 이용하면 되는데, 먼저 레이아웃의 xml코드에서 ... = (Button) findViewById(R.id.button_cancel);button_cancel.setOnClickListener(new OnClickListener() {
    리포트 | 29페이지 | 1,500원 | 등록일 2016.04.20
  • KBS’s Information System
    libraries for database access, templating frameworks and session management, and they often promote code ... existing purpose that deliver better programs through reducing unnecessary costs.Because internal resource ... KBS targeted the goal that the reorganized processes are focusing on the main fundamental task, TV program
    리포트 | 22페이지 | 2,000원 | 등록일 2013.04.10
  • Boot Loader(부트로더)란?
    또는 boot up code 라 부른다 . __ rt_entry 를 호출하면 , C Library 에 main() 함수를 호출한다 . 6. ... SDRAM Flash ROM Physical Address RAM environment (Virtual memory) Boor loader SD RAM메인 함수 호출 Start up code ... BootLoader , Kernel, Ramdisk image Copy Kernel booting Command mode 제공 target board 에 개발한 program
    리포트 | 12페이지 | 1,000원 | 등록일 2011.04.08
  • orthogonal code and biorthogonal code비교 매틀랩
    Simulate the BERs of the orthogonal code and biorthogonal code of Problem 1 using the MATLAB program. ... Discuss the tradeoff between the orthogonal and biorthogonal codes. ... k; % length of hadamard code% Symbol energyEsN0dB=0:2:16;EbN0dB=EsN0dB-10*log10(k);EcN0dB=EsN0dB-10*log10
    리포트 | 4페이지 | 1,500원 | 등록일 2010.10.25
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 결과5 passive component design, Microstrip line
    find the width, length and the gap between each coupled line using the ADS lincal program. ... in their professional development and to support them in following this code of ethics.위 IEEE 윤리헌장 정신에 ... C.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • student's right and the authority of teacher - 학생의 역할과 선생의 권위
    programs. ... And nowadays, A teacher have a heavy f the district school board’s code of student conduct, teachers ... ensure child's safety in the school.
    리포트 | 7페이지 | 5,000원 | 등록일 2014.09.28
  • 가우시안 필터를 이용한 영상처리(C언어)
    );실제로 사용된 예이다.● Pixel 값 바꾸기Sample code의 부분을 유지 하고 중간에 넣은 코드이다. ... C는 처음에 시작할 때 메모리를 정하고 시작한다. 컴퓨터 구조시간에 했었던 MIPS가 Memory를 data, program등으로 구분 하고 위치를 정해져 있다. ... Program 소개 및 후기● Pixel그림 파일 = 숫자이다. 즉 그림 파일을 보고 숫자라고 생각 할 수 있어야 한다. 내가 가지고 있는 그림 파일이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2010.09.18
  • 전전컴설계실험2-8주차결과
    예를 들면, Hyperlink "http://terms.naver.com/entry.nhn? ... Generate Programming File 을 수행하여 bit 파일을 생성한다.6. ... Generate Programming File 을 수행하여 bit 파일을 생성한다.6.
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Cross Cultural Communication UK,USA
    Comparison My Culture code to U.K. Ⅶ. Comparison My Culture code to U.S.A. Ⅷ. ... My cultural Assessment Results Ⅴ. Comparison My Culture code to Korea Ⅵ. ... English Dictionary) Culture definition…collective programming of mind accumulated through common experience
    리포트 | 35페이지 | 2,000원 | 등록일 2012.02.17
  • 재활복지서비스,의료재활,교육재활,직업재활,지역사회중심재활,장애인 재활,의료재활이란,장애인 의료생활
    목표 활동내용 1 회기 2 회기 3 회기 4 회기 5 회기 오리엔테이션 자기소개 웃음 집단 창작 춤 음악을 듣고 시 이야기 등 만들기 나를 다른 사람에게 소개하고 표현하기 웃음 코드 ... 지역사회 조직화 단계 지역사회중심재활 프로그램의 실행 단계 후송체계 형성 단계 프로그램 평가 단계 지역사회중심재활 운영과정뇌병변 장애인 재활을 위한 Self-Rehabilitation Program
    리포트 | 49페이지 | 3,600원 | 등록일 2018.10.11
  • LabVIEW 설계(숭실대)
    )=입력(input)인디케이터(indicator)=출력(output)프론트 패널의 예 block diagram block diagram은 VI의 source code를 나타내는데 사용된다 ... 프로그램을 생성한다는 것이다 이 언어를 graphical programming이라하고 G언어라고도 한다. ... Labview가 타 프로그램과 다른점은 타 프로그램이 코드를 생성하기 위해서 text 기반의 언어를 사용하는데 반해 Labview는 block diagram이라는 흐름도를 사용하여
    리포트 | 10페이지 | 4,900원 | 등록일 2008.12.25
  • Unity3D엔진과 언리얼 엔진의 비교분석
    라이센스 정책의 발표 즉시 언리얼 엔진 공식 홈페이지의 리뉴얼 및 관련 사이트들이 개장되었고 인터넷이 접속 가능한 모든 사람들에게 C++로 작성된 언리얼 엔진 4를 전체 소스코드를 ... 포함해서 약 2만원에 라이센스 가능하게 되었다.UDK와 비교해서 파격적이였던 것은, C++로 이루어진 엔진의 모든 소스코드를 $19에 모든 대중에게 공개한다는 것이다.유니티 엔진의 ... [Fig. 5] unity programming tool mono develop2.3.4 모바일 개발의 편의성유니티가 급성장한 배경에는 분명 아이폰과 안드로이드폰이 있다.아마도 국내
    리포트 | 6페이지 | 2,000원 | 등록일 2016.07.28
  • SAD Final Project
    meter, number of bedrooms, number ofbathrooms, owner name, owner phone number, asking price, and status code ... payment is applied to the member account.직원들은 본사에 그들의 지출을 메일로 보내고 지출은 직원 계좌에 적용된다.The HS program stores ... 할 수 있고, 준 회원은 참여에 제한을 받지만 추가 비용을 지불함으로써 다른 활동에 참여할 수 있습니다.모든 회원은 서비스 혜택을 가지고 있고 상품과 서비스 합니다.The HS program
    리포트 | 5페이지 | 2,000원 | 등록일 2013.03.28
  • [소프트웨어공학]【A+】상세 설계와 UI 설계
    (pseudo code) 의사 결정표 (decision table) 의사 결정도 (decision diagram) PDL(Program Design Language) 상태천이도 ( ... 선택 (if-then-else) action A action B action A action B Decision T F c. 선택 (if-then) d. ... 표현과 코딩이 용이 할 것 수행이 가능할 것 유지보수가 용이할 것 모듈 명세화 기법 흐름도 (flow chart) N-S 도표 (Nassi-Schneiderman Chart) 의사 코드
    리포트 | 30페이지 | 1,000원 | 등록일 2011.07.15
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    입력신호가 변할 때마다 실행process(ex, i) -- excess-3 code convertbegincase i is --Prelab에서와 달라진 Source Codewhen ... d : std_logic:='0'; -- save a bit, in excess-3 code –-4bit의 변수를 각 bit별로 내부신호에 저장하기 위해 선언begin-- enable신호와 ... Input에 대하여 Decoder가 3-to-8 Decoder로 동작할 수 있도록 설계하는 것이다.2) MaterialsPersonal Computer, Xilinx ISE 9.2i program
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • LEX를 이용한 토큰분석기
    = TEOF) {switch(tn) {//print code of each symbolscase TPROGRAM : printf("2"); break;case TCONST : printf ... _]digit [0-9]%%PROGRAM return(TPROGRAM);CONST return(TCONST);VAR return(TVAR);INTEGER return(TINTEGER ... name[ID_LENGTH];};//define const symbol tablestruct constTable{int index;int value;};%}letter [a-zA-Z
    리포트 | 5페이지 | 1,500원 | 등록일 2012.05.02
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    3E board : 1 ea(3) JTAG programming USB cable다. ... 참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥10< 초록 (Abstract) >이번 실험은 Verilog HDL으로 1bit full adder를 구동시키는 실험이다.Test bench code를 ... Materials(Equipments, Devices) of this Lab(1) Computer & Xilinx ISE program : 1 ea(2) Xilinx Spartan-
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 정보통신 단말기
    어셈블리 프로그램을 기계어 프로그램으로 번역하는 소프트웨어.o기계 명령어 형식의 예: 연산 코드 오퍼랜드◈연산 코드(op code): CPU가 수행할 연산을 지정해 주는 비트들. ... ◈기계어(machine language): 컴퓨터 하드웨어 부품들이 이해할 수 있는 언어로서2 진수 비트(1 과 0) 들로 이루어지며, 기계 코드(machine code) 라고도 함 ... ◈어셈블리 프로그램(assembly program): 고급 언어와 기계어 사이의 중간 언어인 어셈블리언어(assembly language: 혹은 어셈블리 명령어(assembly instruction
    리포트 | 46페이지 | 1,000원 | 등록일 2010.04.16
  • 대한항공 기업분석과 경영전략 분석: 대한항공,아시아나항공의 마케팅전략 비교분석: 대한항공의 향후 마케팅,경영전략 제안
    공동 운항인 codeshare를 넘어 각 항공사의 허브 공항은 물론, 목적지에 따른 공동 화물터미널 또한 이용가능하다. ... 대한항공의 스토리텔링 마케팅2008년 9월 24일 한국과 미국 정부 사이에 비자면제 프로그램 (VWP: VIsa Waiver Program) 협상이 타결되어 관광 및 상용목적에 한하여 ... )운항노선 국내선: 13개 도시국제선: 44개국 112개 도시전 체: 45개국 126개 도시본사주소: 서울 강서구 하늘길 260웹사이트 주소: http://kr.koreanair.com
    리포트 | 24페이지 | 4,900원 | 등록일 2015.09.14 | 수정일 2022.01.09
  • NC, CNC비교 (NC코드 3축 5축 비교)
    NC code(1) G 코드코 드기능내용G00위치 결정G01직선 보간G02원호 보간, helical 절삭 CWG03원호 보간, helical 절삭 CCWG04dwellG09exact ... 도면 및 NC code 사용 예(1) 도면작업V벨트 가공하기소요시간기계 및 공구재 료 명규 격수 량안 전 및 유 의 사 항?CNC 선반(PUMA10S)?드릴(018)?연강?바이트? ... M05주축정지(Spindle Stop)M06흡착 MOTER1 ONM07흡착 MOTER1 OFFM08절삭유급유M09절삭유정지M19주측정위치정지M30프로그램 종료M98보조프로그램 호출(Sub Program
    리포트 | 6페이지 | 1,000원 | 등록일 2010.09.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대