• 통큰쿠폰이벤트-통합
  • 통합검색(74)
  • 리포트(62)
  • 자기소개서(11)
  • 논문(1)

연관검색어

"verilog 디지털시계" 검색결과 61-74 / 74건

  • FPGA를 이용한 게임
    Verilog HDL, FPGA Board를 이용한 EZ2DJ 게임 프로그래밍
    리포트 | 3,000원 | 등록일 2010.05.26
  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 비밀번호 설정 모드 , 디지털
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • VHDL로 만든 디지털시계100%작동
    가. 연구개발의 최종목표 - AM/PM 변환 버튼을 만들어 변하게 하고 LED의 깜박임을 통하여 나타낼 것이다 기본 적으로 시, 분, 초, 100분의 1초를 만들어서 4개의 7세그먼트 창에 구현하고, 모드버튼 을 누르면 4개의 세그먼트가 “시/분“을..
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.27
  • AND,OR,NOT 게이트 예비보고서
    기본 이론디지털 논리회로(Digital logic circuit)는 조합논리회로(Combinational logic circuit)와 순서논리회로(Sequential logic circuit ... Ch22) 디지털 논리회로 설계 – Verilog 기초, (김종수 외 6명), Ch23) 마이크로프로세서 응용로봇제작 8051, (정상봉 외 3명), 2장4) http://vhdlteacher.com ... IC의 핀 번호는 IC를 위에서 보아서 홈이 있는 부분의 위쪽이나 원형의 점이 있는 부분을 1번으로 하여 반 시계 방향으로 일련 번호가 매겨진다.
    리포트 | 10페이지 | 2,000원 | 등록일 2011.11.21 | 수정일 2013.11.18
  • 디지털시계 설계
    목차디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및 최종설계도디지털시계 ... 위의 블록도와 같이 시계는 동작하게 되어 있다. 디지털 회로에 전원 DC 5V 와 시계의 타이머 NE555 클럭 입력을 준다. ... 여기서 디지털 시계의 회로를 제대로 이해하기 위해서는 무엇보다 카운터 설계에 대한 개념이 잡혀 있어야 된다고 생각한다.7-segment Display0~ 9 까지의 숫자를 표시하기
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • HDL Verilog 알람시계
    실습목표-Alarm 기능을 갖는 digital clock을 Verilog HDL로 설계한다.-기능을 시뮬레이션을 통해서 검증한다.2. ... Digital Clock의 기능-입력 Clock은 초 단위로 동작한다.-AM/PM(오전/ 오후) 로 구분한다.
    리포트 | 8페이지 | 5,000원 | 등록일 2007.06.21 | 수정일 2023.04.10
  • 디지털 시스템 및 논리회로 프로젝트 보고서
    디지털 시스템 및 실습 프로젝트’목 차▣프로젝트의 목적- 2▣디지털 시계의 논리회로도 분석 및 개요- 2 ~ 4▣시계에 사용되는 재료 및 각각의 IC의 분석- 5 ~ 13▣회로의 ... 디지털시계 제작에 있어서 제일 중요한 부분이기 때문에 회로도에 관한 내용을 요약해 보았다. ... 시계를 직접 제작해봄으로써 각각의 IC들의 기능을 익히며 수강과목의 이론을 실습한다.▣ 디지털시계 회로도 및 회로도 분석- 회로도조립하기 전에 제일 먼저 이해해야 하는 부분이 회로도
    리포트 | 49페이지 | 3,000원 | 등록일 2008.02.10
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    시계에서 시간을 생성하는 블록 DATE : 디지털 시계의 날짜를 생성하는 블록 STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록 SELECTOR : 각 블록에서 ... DATE : 시계의 날짜를 생성하는 블록. 매 월마다 날짜가 다른것을 고려하였습니다. STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록. ... 시계의 기능을 모두 구현하는데 성공하여 DHL 구술언어 및 디지털 시스템의 기능과 구조 등에 대하여 습득 할수 있는 좋은 기회가 되었습니다.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 디지탈 스톱워치 ( Digital stop watch )
    디지털 스톱 워치(Digital stop watch)목차제작 개요 및 목표 스톱워치의 동작 스톱워치의 내부적 순서 스톱워치 내부 클럭의 흐름 전원부 구성 Ne555의 주기 계산 IC ... 스위치를 누르고 있는동안의 카운팅 - 1/100 sec 속도의 카운팅 (시계역할) O2. 리셋버튼을 이용한 초기화 - 스톱워치를 대기상태로 전환스톱워치의 내부적 순서1. ... 목표 : 논리소자를 스톱워치 설계에 이용하여 디지털 스톱워치의 원리를 이해하고 논리소자의 원리를 이해한다.*스톱워치의 동작*O1.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.11.30
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    Project - Digital Watch -4조 Proposal목 차Work Plan Roles of Members Intended Functionalities Brief DesignWork ... Plan(1/2)1주차(5.19 ~ 25) LCD Interface 자료 수집 VHDL 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ ... 6. 1) LCD Interface source code 분석 Digital Watch VHDL source coding Emulation in BoardWork Plan(2/2)3주차
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • 효성 합격 자기소개서 입니다.
    제 스스로 무엇인가를 만들어보기 위해서 방학에는 DE2보드를 사용하여 Verilog 언어로 디지털시계를 구현해 보았습니다.저는 축구를 좋아합니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2008.06.14
  • [디지털논리회로]Digital clock design
    마지막 4차 과제를 통하여 3학년 때, 시계를 많을 때도 많은 도움이 될 것이라는 확신과 생각을 가지게 되었습니다. ... )제 목Digital clock design1. ... 결론한 학기동안 디지털 논리 회로를 배워나가면서 힘든 점도 많았지만 많은 것을 배웠고 보람도 있었습니다.
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • [전자회로] 디지털 시계 만들기
    74LS47, 74LS90, 555칩을 이용하여 제작한 시계입니다시, 분, 초 가 나오구요...reset, start/stop, 시, 분 조정 스위치 만들어져있습니다.압축파일을 열어보시면
    리포트 | 2페이지 | 2,000원 | 등록일 2002.11.26
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)指導敎授 : 宋 仁 彩이 論文을 學士學位 論文으로제출함2007
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대