• 통큰쿠폰이벤트-통합
  • 통합검색(1,390)
  • 리포트(1,240)
  • 시험자료(76)
  • 논문(24)
  • 자기소개서(21)
  • 방송통신대(12)
  • 서식(10)
  • ppt테마(5)
  • 이력서(2)

"vector system" 검색결과 61-80 / 1,390건

  • 연세대 대학원 전기전자공학부 학업계획서
    다중 객체 추적 연구, 저가형 3차원 레이저 스캐너를 사용하여 움직이는 물체 감지 및 추적에 대한 새로운 윤곽 기반 접근 방식 연구, Additive Kernel Support Vector ... 수학 및 연구계획저는 OOO 교수님의 OOOOOOO 연구실에서 커널화된 제안으로 가속화된 보행자 감지 시스템 개발 연구, 심층 초기화를 사용한 새로운 몬테카를로 현지화: 3차원 LiDAR ... 않고 WiFi 기반 실내 측위 해상도 향상 연구, 베르누이 랜덤 유한 집합을 사용한 신생아 궤적 감지 및 상태 추정 알고리즘 연구, 스테레오 카메라를 사용하는 첨단 운전자 지원 시스템
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.09.21
  • Binaural rendering and VBAP
    3차원 음향시스템 설계 Term Project 보고서1. ... VBAP 적용VBAP는 speaker의 vector에 가중치를 곱해 virtual source의 위치를 정하는 방법이다. 스피커의 두 vector는 +45°, -45° 방향이다. ... 이 후 각각의 스테레오 스피커에서 나오는 음원을 vector로 사용하여 VBAP을 적용하면, 원하는 방향에 virtual source를 만들 수 있을 것이다.3.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.01.10
  • 서강대학교 마이크로프로세서 7주차 실험
    이러한 인터럽트 기능을 통해 시스템의 성능 효용성을 최대화할 수 있게 된다. main 프로그램에서 어떤 명령어 수행 중 인터럽트가 발생하면, 프로세서는 수행하던 명령어의 수행을 마친 ... PB8은 EXTI8(39번) 인터럽트를 사용하는데, 이를 Vector table에서 보면 39번 인터럽트임을 알 수 있다. ... .● Vector table의 구성과 역할에 대해 이해한다.● 발생한 인터럽트를 처리하는 과정에서 프로세서가 stack을 어떻게 사용하는지 이해한다.● 외부 소자로부터 입력되는 신호를
    리포트 | 26페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 분자생물학 기말 레포트
    이것은 innate immune system과 adaptive immune system을 연결하는 역할을 하고 또한 면역체계를 발동할지 tolerance를 발동할지를 결정한다 (5) ... 이것은 adenoviral vector에 recombinant을 통해서 만든 것으로 transfected cell에서 A20 mRNA가 90%이상 silencing되는 효과를 가진다 ... 이 vector는 bone-marrow-derived DC (BM-DC)에 transduce되었을 때 mRNA와 protein level에서 상당한 down regulation효과를
    리포트 | 12페이지 | 2,500원 | 등록일 2021.01.17
  • transfection 예비실험보고서
    잠정발현시스템으로 높은 유전자 발현을 원하는 경우 이용되며 Stable expression system에는 효과적이지 않다.물리적 방법 : 가장 많이 사용되는 방법은 electrophoration을 ... Lentiviral vector는 유사분열을 하고 있지 않은 세포에 DNA를 도입할 수 있어 유용하게 사용된다. ... Adenoviral vector는 DNA 분자를 다양한 사람세포로 도입할 수 있기 때문에 바이러스를 이용한 transfection방법에 유용하게 사용된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.06.20
  • 자바프로그래밍 리포트
    System.currentTimeMills(); : 시스템 ntp 시간으로부터 현재 시간을 밀리초로 가져온다.(1초 == 1000 밀리초이며 Long타입이다.)2) 사용예시 소스 : ... 종류 : List(Interface), ArrayList(Class), LinkedList(Class), old(Vector)나. ... 종류 : Stack (List의 Vector 클래스 확장)나. LIFO(Last In First Out) 후입 선출로 되어있음.다.
    리포트 | 9페이지 | 2,500원 | 등록일 2022.09.15
  • 미생물 감염병 중간고사 시험 내용
    single guide RNA 발현 vector를 첨가- single vector system : 하나의 vector에 guide RNA와 Cas 발현 정보를 넣어 제작*이 때, ... Protocol for CRISPR/Cas9 system· 전제 조건- Target의 뒤(5‘-3’방향)에 PAM seq가 존재해야 함- guide RNA는 2차구조 형성 : Ca9이 ... 변이는 불필요· 이용방식- NHEJ : DNA knock out에 이용- HDR : 외래 유전자 삽입에 이용· 그 외의 방식- co-transfection : 세포에 Cas 발현 vector
    시험자료 | 26페이지 | 1,500원 | 등록일 2021.12.18
  • 방통대 프로그래밍언어론 2학기 중간과제
    외 종류의 파일은 감점)- 파일 용량: 5MB 이내- 작성 분량: 표지 포함 5쪽 이하(A4기준, 1쪽은 표지, 2쪽부터 풀이)- 표절 관련 주의사항: 학교에서 제공되는 표절검색 시스템에 ... - orthogonality, 선형대수의 orthogonal vector에서 유래, 독립된 기본 구조들이 조합되어제어나 데이터 구조를 생성할 수 있는 능력- 예:Int x VS int
    방송통신대 | 2페이지 | 3,000원 | 등록일 2019.10.24
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    FINAL TERM PROJECTReporting date2018.06.22Major전자공학과Subject디지털시스템설계실습VHDLStudent ID5Professor/ㅓㅏ=교수님Name0INDEX1 ... std_logic_vector(6 downto 0) := "0000001";signal opseg_3 : std_logic_vector(6 downto 0) := "0000001" ... ;signal opseg_4 : std_logic_vector(6 downto 0) := "0000001";signal opseg_5 : std_logic_vector(6 downto
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • Gel extraction / TA-cloning / Transformation / Blue-white selection
    노란색이 T vector이며 T vector ligation 반응을 시킬 수 있는 2x 버퍼 시스템, 실제로 ligation 진행시킬 수 있게끔 하는 T4 phage ligase가 ... 모두 엔자임이므로 아이스에서 진행해야 한다.먼저 Insert DNA를 에펜도르프 튜브에 넣고, Insert 농도의 3분의 1에 해당하는 T vector를 섞어준다. ... 그런 후 T Vector와 Insert가 잘 달라붙을 수 있도록 50도에서 10~30초 정도 activation 반응을 시켜주고 ligation을 유도하도록 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.03.05 | 수정일 2021.05.06
  • [열유체공학실험] HW4-numba,jit,parallel,cuda를 사용시의 연산속도 비교
    numba.jitNumpy 연산순으로 계산속도가 빨랐다.우선 데이터의 개수가 많았을 때 병렬로 계산하는 방법이 빨랐던 것으로 보아 aX+b의 값을 구하는 것은 Python 네이티브 시스템이 ... (target=’parallel’)vectorize(target=’cuda’)순으로 계산속도가 빨랐고데이터의 개수가 많았을 때는vectorize(target=’cuda’)vectorize ... 반면에 데이터의 개수에 차이에 따라 vectorize(target=’cuda’),vectorize(target=’parallel’)의 계산속도 순서는 뒤집어졌다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.11.17 | 수정일 2021.11.22
  • 10주차 예비보고서 - 디지털 시스템 설계 및 실험
    Instruction Set Architecture- 프로그래밍 가능한 시스템은 Instruction의 순차적인 수행을 통해 Operation을 제어한다. - 하나의 Instruction은 ... Instruction 등을 정의한다. - 프로그램은 Instruction의 나열로 RAM 혹은 ROM에 저장된다. 2.1 Instruction Format- Instruction은 Bit Vector
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29
  • 유전공학의 이해 3판 6장 정리 <재조합 DNA>
    원하는 DNA 를 BP 반응에 의해 공여자 벡터 ( donor vector) 에 도입하여 엔트리 벡터 (entry vector) 를 제작한다. ... 제작된 엔트리 벡터는 LR 반응에 의해 원하는 목적 벡터(destination vector)로 도입하여 발현 벡터(expression vector)를 제작한다. ... 말한다.파지 에 의해 만들어지는 파지 Int(Integrase)와 대장균에 의해 만들어지는 대장균 IHF(Integration Host Factor) 두효소에 의해 촉매된다.Gateway 시스템
    리포트 | 5페이지 | 2,500원 | 등록일 2020.12.09
  • [A+ 리포트] Ligation & Transformation (분자생물학실험)
    Sci, Vol.27, No.3(Supp.), May 2014, pp.679~684.Zhiming Tu, “An improved system for competent cell preparation ... 분자생물학실험제목(Title) : Ligation & Transformation목적(Purpose)각각 2개의 제한효소(EcoR1, Xho1 enzyme) 처리한 vector와 PCR ... 고찰에서 다룬다.조원들이 동일한 조건에서 실험을 진행한 결과, , , 에서는 모두 단일 콜로니를 확인할 수 있다.토의(Discussion) : None고찰(Remark)본 실험에서는 Vector
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.04
  • 23-2 A+ 만점 색채심리와현대생활/색채/외부이러닝/한양대학교학점교류/중간기말기출판매
    ① A: 비트맵(Bitmap), B: 벡터(Vector)② A: 해상도(Resolution), B: 픽셀(Pixel)③ A: 벡터(Vector), B: 비트맵(Bitmap)④ A: ... 다음 그림과 설명에 해당하는 디지털색채 시스템은 무엇인가? ... - 팔레트의 색상 분포도가 가장 유연하고 부드럽게 보인다.- 디지털 색채 시스템 중 가장 안정적이고 널리 사용되는 색채 시스템이다.① LAB 형식② CMYK 형식③ RGB 형식④ HSB
    시험자료 | 4페이지 | 2,000원 | 등록일 2023.12.28
  • [생명과학공통실험] 유전자조작1(제한효소반응, 전기영동)
    , power supply, UV box, 사진촬영 system, Gel extraction kit2. ... DNA 운반체(vector)- DNA복제 가능하도록 제작된 복제원점 가지고 있다- 외부 DNA 집어넣는 데 유용한 다수 제한효소 절단 염기서열 포함하고 있다- 선택표지자인 항생제 내성 ... 유전자를 포함하는DNA운반체를 가진 박테리아를 선택적으로 선별할 수 있다.- 특정 단백질 발현할 수 있다.다. pGEX vector- 벡터의 앞부분에 GST를 코딩하는 서열이 있다
    리포트 | 6페이지 | 2,000원 | 등록일 2022.02.25
  • [생명과학실험]단백질 발현과 정제
    또한 6개의 히스티딘 염기서열을 포함하는 His tag가 존재한다.마. pET Expression SystemE.coli안에 pET벡터를 집어넣어도 벡터가 지닌 Target gene은
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.17
  • [A+] 항공기계공학개론 / 리커다인 활용
    따라서 Beer의 《DYNAMICS: Vector Mechanics For Engineers 12TH》 교재의 문제를 발췌하여 RecurDyn에서 해석을 수행하였다.Ⅱ. ... 하나 이상의 강체가 기구학적인 구속 조건이나 접촉 조건 등으로 연결되어 있는 시스템에 힘이 작용할 때 거동을 예측할 수 있다. ... RecurDyn”, HYPERLINK "https://functionbay.com/ko" https://functionbay.com/ko, 2023. 07. 20Beer, 「Dynamics: Vector
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.07
  • 동물실험, Transgenic, Knockout mouse 개념, Gene editing에 대한 개념 (ZFN, TALEN, CRISPR)
    이때 cell line에서 expression이 확인된 promoter나 expression vector를 사용한다. ... , 세균에서 CRISPR 시스템은 외부에서 침입한 DNA에 대항하는 획득성 면역으로 작동한다. ... 따라서 CRISPR/Cas 시스템은 crRNA를 다시 디자인 할 수 있어 사실상 모든 DNA 서열을.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.03
  • 물류통관 정보기술
    물류 정보화 사례미국 물류업체인 CNF사와 합작으로 Vector SCM이라는 회사를 설립 Vector SCM에 자사의 물류업무 전체를 아웃소싱 하여 4PL 서비스 도입을 통해 물류시스템 ... 물류 정보화 도입시 유의사항물류정보시스템은 최적의 경영정보시스템의 구축방향과 모순되지 않도록 설정되어야 한다. 물류활동의 전제는 상류활동이다. ... 따라서 물류정보의 시스템화는 상류 정보의 시스템화가 선행되어야만 가능하다. 충분한 사전조사가 선행되어야한다.THANK YOU VERY MUCH!!
    리포트 | 29페이지 | 1,000원 | 등록일 2021.02.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:07 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대