• 통큰쿠폰이벤트-통합
  • 통합검색(8,540)
  • 리포트(7,801)
  • 시험자료(493)
  • 방송통신대(133)
  • 논문(44)
  • 자기소개서(43)
  • 서식(15)
  • ppt테마(8)
  • 이력서(2)
  • 노하우(1)

"16BIT" 검색결과 61-80 / 8,540건

  • 논리회로 - 4 to 16 bits decoder using two 74x138 Truth Table(진리표)
    74x138 디코더 2개를 이용해서 만든 4 to 16bit 디코더 진리표(Truth Table)입니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.12.21
  • [공학]16비트 컴퓨터 설계 보고서
    16 BITS COMPUTER 설계? ... , TR, OUTR, INPR, SC3) 7개의 플립플롭 : I, S, E, R, IEN, FGI, FGO4) 2개의 디코더 : 3X8동작 디코더와 4X16타이밍 디코더5) 16비트 ... 소프트웨어 구조의 결합을 통해 목표로 했던 16 bits Computer 설계하려고 한다.위의 구조에 따라 16 bits computer를 설계해 본다.다음과 같이 설계를 마쳤다.SymbolSimulation설계
    리포트 | 23페이지 | 5,000원 | 등록일 2007.06.21
  • 16x2 문자형 LCD 를 FPGA상에서 4-비트 모드로 구동하기 위한 VHDL 코드
    busy, active high -- LCD interface signals Dout : inout std_Logic_vector(3 downto 0); -- 4 bit ... entity lcd16x2 isport(Clk : in std_logic; -- system clock(5MHz) Rst : in std_logic; -- asynchronous ... Read(‘1’)/nWrite(‘0’), always `0`(write) En : out std_Logic -- LCD Enable, active high);end lcd16x2
    리포트 | 9페이지 | 2,000원 | 등록일 2012.02.20
  • 16bit 동기 카운터 설계 UP & DOWN COUNTER
    16bit 동기 UP & DOWN COUNTER를 설계하라.- 전자공학과 2004144064 최민수 -1. ... DX000111100011XX0011XX0111XX0111XX1111XX1111XX1011XX1011XXJd = 1KdA = 0 A = 1BC DX00011110BC DX0001111000XX1100XX1101XX1101XX1111XX1111XX1110XX1110XX11Jd = 16
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.26
  • [디지탈설계]4bit, 16bit carry look ahead(vhdl)
    ;architecture adder_16 of CLA_16bit iscomponent CLA_4bit is Port ( a : in std_logic_vector(3 downto ... library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_16bit is Port ( a : in std_logic_vector(15 downto ... std_logic; sum : out std_logic_vector(15 downto 0); cout : out std_logic);end CLA_16bit
    리포트 | 2페이지 | 1,000원 | 등록일 2006.03.06
  • FPGA를 이용한 디지털 시스템 설계(인하대) Booth algorithm, 16bit multiplier (problem 4-21, 4-22 중간고사 코딩) 보고서
    실험과정 및 소스코드.우리가 설계하고자 하는 것은 8bit Booth algorithm multiplier이다.8비트의 수를 곱하는 것이므로 승수 Mplier와 피승수 Mcand를 ... 8비트로 선언한다. 8비트의 두 수를 곱하게 되면 결과는 15비트가 나오므로 곱의 결과 Product는 15비트로 선언한다.그 다음에 parameter구문을 이용하여 상태를 저장하는 ... 매개변수 S0, S1, S2를 지정해주었다.레지스터를 선언해주는 부분에서 누산기를 위한 레지스터 A와 승수를 로드할 레지스터 B는 9비트로, 피승수를 로드할 레지스터 C는 8비트
    리포트 | 19페이지 | 2,500원 | 등록일 2014.11.27 | 수정일 2015.10.02
  • 16bit CLA (carry lookahead adder)
    4비트 block의 16비트 CLA검증 완료된 코드이며, 베릴로그로 기술되었음.
    리포트 | 무료 | 등록일 2005.07.23 | 수정일 2017.03.08
  • [디지털 공학] 16 비트 CLA 소스
    16비트 2스테이지 케리 룩 어헤드 VHDL소스입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2003.05.15
  • VHDL로 구현한 MU0(16bit processor)와 어셈으로 구현한 Booth algorithm
    위 말의 정의는 Memory와 Processor내부의 레지스터를 16bit로 구연한다는 말이고, opcode가 4bit이고 address는 12bit 이다. ... 1) MU0에 대한 개요 MU0는 Processor의 일종으로 기본적으로 16bit를 구현하고 있다. ... 그리고 IR에서 그 데이터에서 opcode(data 내부에서 앞부분 4bit)를 불러내고 Control Unit에서 opcode를 분석하여 각 Register에 일정한 signal을
    리포트 | 34페이지 | 5,000원 | 등록일 2007.12.17
  • 디지털 통신] PSK, QAM 방식의 Bit error probability(BER) 시뮬레이션(BPSK,QPSK,16QAM)
    QAM Communication SystemㆍSimulate bit error probability of the 16QAM in AWGN channel. ... PSK Communication SystemㆍSimulate bit error probability of the BPSK and QPSK in AWGN channel (BER vs ... 결과secresult = 0; % 두번째 비트 결과thiresult = 0; % 세번째 비트 결과forresult = 0; % 네번째 비트 결과firsignal = randint(
    리포트 | 12페이지 | 1,500원 | 등록일 2009.02.20
  • awgn 채널 하에 bpsk qpsk 16qam coding을 이용한 BER(bit error rate)분석
    0보다 작으면rxdata(j,(i*2)-1) = 1;= 8*txdata(i) + 4*txdata(i+1) + 2*txdata(i+2) + txdata(i+3); % 랜덤 데이터를 2bit짤라서 ... -2)) & (imagetemp >= 2)rxdata16(j,(i*4)-3) = 0;rxdata16(j,(i*4)-2) = 1;rxdata16(j,(i*4)-1) = 1;rxdata16 ... < 0) & (imagetemp >= -2))rxdata16(j,(i*4)-3) = 0;rxdata16(j,(i*4)-2) = 0;rxdata16(j,(i*4)-1) = 0;rxdata16
    리포트 | 2,000원 | 등록일 2009.04.17
  • [전자전기](16bit 마이크로프로세서)Trainer의 사용법 예비리포트
    (H : 외부소자로 출력, L : 입력)BHE/S716비트 데이터 버스의 상·하위 바이트의 구별M/IO메모리에 대한 명령어와 I/O에 대한 명령어 구별WR외부 소자에 데이터 출력 ( ... 키 패드는 위의 그림과 같이 우측에 16개의 16진수 키와 좌측에 8개의 기능 키로 구분된 다. ... 명령이고, 우측 약자가 인텔 8086의 레지스터 이름이다. 16진 수 키의 기능은 현재 모니터의 상태에 따라 결정된다. 16진수 키와 관련된 명령과 레지스터들은 아래 표와 같다.
    리포트 | 25페이지 | 2,000원 | 등록일 2005.10.26
  • [전자전기실험](16bit 마이크로프로세서) 배정밀 연산 예비리포트
    나눌 16비트값을 AX나 DX이외의 레지스터에 넣은 후 DIV (16비트 레지스터) 와 같이 명령을 수행 한다.명령의 수행 후 몫은 AX레지스터에 남고 나머지는 DX레지스터에 남는다 ... 결국 8비트로 나누는 나눗셈 수행 후 AX 의 값은 변경되므로 이것을 항상 유의해야 한다.16비트로 나눌 때는 나누어질 수의 상위 워드 값을 DX에, 하위 워드값을 AX에 넣은 후, ... 결국 16비트로 나누 는 나눗셈 수행 후 AX, DX 레지스터의 값이 변경되므로 항상 이것을 유의해야 한다.IDIV 명령은 부호 붙은 수를 처리한다는 점 외에는 DIV명령과 유사하게
    리포트 | 4페이지 | 1,000원 | 등록일 2005.11.16
  • [전자전기실험](16bit 마이크로프로세서) 산술 및 논리 명령어
    +EA20+EA+4/비트******지정된 메모리나 레지스터의 내용을 좌로 shift, 오퍼랜드의 최하위 비트가 CF로 들어가고 최상위 비트는 0이 들어간다. shift bit 수는 ... 부호없는 나눗셈w=0일 때피제수 AX, 몫 AL,나머지 AHw=1일 때피제수 DX(상위 16비트),AX(하위 16비트),몫 AX, 나머지 DX나눗셈의 결과가 저장할 레지스터의 용량을 ... 111 r/m(disp-low)(disp-high)222∼42∼4101∼112165∼g : 세그먼트 레지스터mem : 메모리 내용, mem8 : 8비트, mem16 : 16비트,
    리포트 | 14페이지 | 1,500원 | 등록일 2005.11.09
  • [전자전기실험](16bit 마이크로프로세서) 스택 사용 명령어 예비리포트
    스택의 주소룰 지정하는 레지스터는 그 값이 항상 스택의 최 상단(TOS)을 지시하고 있으며 인텔 8086에는 16비트의 스택 포인터(SP) 레지스터가 있다. ... 된 레지스터에, 혹은 16비트로 이루어진 매모리에 대피, 복귀 밖에 하지 못하므로 예를 들면, 부 프로그램 내의 DL 레지스터 밖에 사용하지 않는 경우라 할지라도 DX 레지스터로서 ... 데이터만 위 또는 아래로 이동한다.메모리 스택에서는 데이터가 위 또는 아래로 움직이는 대신 데이터가 위치하는 스택의 꼭대기를 움직임으로써 조작을 간단히 할 수 있다.인텔 8086은 16비트
    리포트 | 6페이지 | 1,000원 | 등록일 2005.11.16
  • [전자전기]중앙대학교 3학년1학기 16bit마이크로프로세서(예비)
    이번 실험을 통해 TRAINER의 여러 명령과 동작을 통한 조작순서와 8개의 function keys와 16개의 Heaxadecimal(16진수) keys로 이루어진 각키의 기능과
    리포트 | 6페이지 | 1,500원 | 등록일 2006.01.31
  • [컴퓨터구조] max+2 vhdl을 이용한 16비트 기본컴퓨터 설계
    TERM PROJECT16비트 컴퓨터 설계과 목 명:컴 퓨 터 구 조학 과:전기전자정보전공학 번:이 름:제 출 일:담당교수:16비트 컴퓨터1. 컴퓨터의 구성 및 전체 블록도2. ... DISCUSSION16비트 컴퓨터 설계■ 컴퓨터의 구성1. ... ▲ PC▲ DR▲ AC▲ IR▲ TR▲ OUTR▲ COMMONBUS▲ ALU▲ SEQUENCE COUNTER▲ 입출력부▲ 전체 시뮬레이션 결과 (가산프로그램)■ DISCUSSION16비트
    리포트 | 19페이지 | 3,000원 | 등록일 2004.10.05
  • [컴퓨터구조] C++ 16bit 어셈블러 제작 (LEXER 포함)
    [문제정의]수업시간에 배운 16비트 CPU를 위한 어셈블러를 구현하라.l 본 파일과 동일 폴더에 들어 있는 "어셈블리 정리.doc"에서 설명하고 있는 어셈블러를 제작하시오.l 이전
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.20
  • [전자전기실험]전자전기실험 (16bit 마이크로프로세서) 인텔 8086의 내부구조와 데이터 전달
    범용 레지스터는 다음과 같이 각각의 기능을 갖고 있다.데이터 레지스터(data register)AX / AL : 16비트 / 8비트 어큐뮬레이터산술 논리 연산의 중심이 된다. ... CPU급할 수 있다.범용 레지스터범용 레지스터의 그룹은 16비트 크기의 데이터 레지스터 AX, BX, CX, DX가 있으며 이 레지스터는 8 비트 레지스터 AX=AH, AL, BX= ... 번지 지정에서 어드레스 레지스터, 베이트 레지스터로 사용한다.CX / CL : 16비트 / 8비트 카운트 레지스터루프명령, 스트링 명령 등에서 루프 수의 오토 가운터로 사용한다.CL
    리포트 | 13페이지 | 1,500원 | 등록일 2005.11.06
  • [전자전기실험]전자전기실험(16bit 마이크로프로세서) 프로그램 분기명령어 예비리포트
    이 레지스터는 전체 16비트 중 9비트를 사용하는데, 조건 분기 등의 명령어와 함께 프로그램의 흐름을 변경하거나 CUP의 상태를 제어/확인하는데 사용된다. ... 127바이트의 상대적인 값치로든 분기할 수 있다. 16번째 비트로부터 발생하는 올림수를 무시하여 현 세그먼트를 원처럼 생각할 수 있다. ... 분기 명령어만이 세그먼트간 분 기를 수행할 수 있다.세그먼트내 분기(Intrasegment Branches) : 세그먼트 내 분기는 현재의 IP 레지스터 값으로부터 8비 트 또는 16비트
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대