• 통큰쿠폰이벤트-통합
  • 통합검색(2,144)
  • 리포트(1,684)
  • 자기소개서(256)
  • 방송통신대(76)
  • 논문(65)
  • 시험자료(59)
  • 서식(2)
  • 이력서(2)

"비실험설계논문" 검색결과 61-80 / 2,144건

  • 간호관리,논문요약,시뮬레이션,SBAR을 활용한 의사소통 인수인계 시뮬레이션 기반 교육의 효과 . 신규간호사를 대상으로
    실험군과 대조군은 임상 수행능력에 차이가 있을 것이다.5. 연구 설계비 동등성 대조군 전후 설계를 이용 한 유사 실험연구이다.6. ... 논문요약SBAR을 활용한 의사소통 인수인계 시뮬레이션 기반 교육의 효과: 신규간호사를 대상으로과목명담당 교수제출자제출일1. 논문 제목신나연.(2018). ... 실험군과 대조군은 자기효능감의 향상 정도에 차이가 있을 것이다.? 가설 2. 실험군과 대조군은 의사소통능력의 향상 정도에 차이가 있을 것이다.? 가설 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.11.13
  • 1. 간호연구에 관한 문헌검색을 위해 적절한 검색어와 전자데이터베이스를 선정하는 것이 필요하다.
    자세한 설명은 음과 같다실험연구와 비 실험연구의 차이는 독립변수의 조작 여부에 있다 독립변수의 인위적 조작을 연구 용어로는 실험처치(experimental treatment)라고 한다 ... 다른 변수들을 알아야만 외생변수를 설정하여 통제가 필요한 변수와 구체적인 통제 방법을 결정할 수 있다 욕창 환부의 치료 속도에 영향을 미치는 변수에는, 환자의 나이, 혈중 헤모글로빈 ... 막연한 의문이나 알고 싶은 내용을 구체적인 임상 질문으로 만들기 위해 PICO 요소 또는 PICO에 S(Study design, 연구설계)를 추가하여 사용하고, 임상 질문 만들기를
    방송통신대 | 6페이지 | 5,000원 | 등록일 2021.11.14 | 수정일 2022.04.10
  • TMD와 고유주기 산정 실험 레포트
    단자유도 모형실험을 통한 TMD 의 진동제어성능 평가 - 실험 2. 질량비에 따른 TMD 설계 - 실험 3. ... 유무에 따른 구조물의 변위 값 TMD o TMD x추의 질량비에 따른 TMD 설계질량비 1.0% 의 TMD 유무에 따른 구조체 변위 질량비별 제어성능인천 송도 더샵 퍼스트월드 건물의 ... 국내석사학위논문 경북대학교 대학원 , 2010. 대구 ) 모형실험과 실계측으로 실험을 진행하였음 고유주기 측정은 어떻게 이루어 지는가 ? - 실험 1.
    리포트 | 29페이지 | 1,000원 | 등록일 2020.11.22 | 수정일 2022.08.31
  • 고급간호연구 ) 다음 진술된 연구문제에서 가장 타당한 것으로 생각되는 주요어(Key word)를 제시하시오. 핵심이 되는 전자데이터베이스(Core database)를 5가지 이상 제시하시오.
    실험연구가 비실험연구와 다른 점은 바로 이 독립변수의 조작 여부에서 이루어진다. ... 이 데이터베이스에서는 저자, 논문, 연구설계유형, 특정 학술지, 참고문헌 수록, 출판기간, 대상자 제한, 간호사의 주저자 등 다양한 제한을 두고 검색할 수 있으며, 근거기반의 간호지침을 ... 해당 데이터베이스는 간호학 분야에서 최고로 여겨지며, 약 5,000여 종의 간호학 관련 저널 및 학위 논문, 회의록, 실험규정 등 관련 자료에 대한 생인과 초록 정보 등을 제공한다.
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.03.02
  • 경북대 사회조사방법론 A0 기말고사 시험자료
    비개입적 연구의 유형① 내용분석② 기존 통계자료 분석③ 비교역사분석(2) 내용분석?내용분석-기록된 의사소통 내용(책, 논문, 신문 기사, 영화, 가사, 웹사이트 등)의 분석? ... )≒추세연구② 비균등 통제집단: 통제집단이 실험집단과 유사하지만, 무작위화를 거치지 않고 선발하는 방법(인구학적 변수 등을 이용한 사전 조사가 필요)③ 다중 시계열 설계: 복수의 집단으로부터 ... 유사실험설계-실험설계와 유사하나 통제집단을 엄격히 선발하지 않고 조사하는 방법① 시계열 설계: 일정 기간 측정된 관찰치를 비교하는 조사 방법(실험 전과 실험 후 조사, 통제집단의 결여
    시험자료 | 10페이지 | 3,000원 | 등록일 2023.01.05
  • 간호사를 위한 분노 관리 프로그램의 효과에 대한 비판적 논문읽기
    논문 요약본 연구는 분노관리 프로그램이 간호사의 분노, 직무스트레스, 심리적 안녕감, 심박수 변동성에 미치는 영향을 파악하기 위한 비등가 대조군 사전시험 후 시험설계를 적용한 준 실험 ... 비판적 논문읽기논문 제목간호사를 위한 분노 관리 프로그램의 효과논문 출처저자윤경선, 유양숙제출자1.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.02.12
  • [고급간호연구 기말 2021년] 1. 간호연구에 관한 문헌검색 주요어 2. 순수실험연구의 조건 세가지 3. 연구가설 귀무가설 4. 내적 타당도를 위협하는 위험요인 대상자 선택편중, 통계적 회귀 5. 다음 연구의 결과 표를 보고 물음에 답하시오
    S(Study design) : 가장 적합한 연구설계는 무엇인가? ... 또한 무작위 임상시험 논문들에 관한 세계 최대의 데이터베이스인 ‘센트럴(CE실험연구의 조건 세가지를 제시하고, 각각에 대해 구체적인 연구에서의 적용을 예로 들어 설명하시오. (10점 ... 국립의과학지식센터는 의과학 연구성과물 통합관리 및 제공하는데, 국가연구비 지원을 받은 연구성과물의 공공접근정책(Public Access Policy) 실현하고, 의과학 연구보고서 등
    방송통신대 | 8페이지 | 5,000원 | 등록일 2021.11.07
  • 정신간호학 논문요약, 체조기반 중재, 논문 한장요약
    연구 설계 : 비 동등성 대조군 전 ? 후 설계의 유사실험연구6. 연구 대상 : 대상자는 D 광역시에서 편의 선택된 2개의 정신 재활시설에 등록된 만성정신질환자 67명7. ... 연구 가설 : 체조 활동기반 간호 중재에 참여한 실험군과 참여하지 않은 대조군의 실험 후 (스트레스, 비만, 정신건강 자신감) 차이가 있을 것이다.5. ... 연구논문 제목체조 활동기반 간호 중재가 만성정신질환자의 스트레스, 비만, 정신건강자신감에 미치는 효과: 김희정, 임숙빈J Korean Acad Psychiatr Ment Health
    리포트 | 1페이지 | 1,000원 | 등록일 2022.05.15
  • 양적연구와 질적연구의 차이점을 밝히시오.
    양적 연구 방법으로는 협력집단과 비 협력집단을 나누어 실험 설계를 하였으며 숙달도 간의 상관관계를 밝히고자 하였다. ... 이 실험 검증 방법으로는 통제집단 사전 사후검사설계 방법, 통제집단 사후검사설계 방법, 솔로몬 네 집단 설계 방법 등이 있다. ... 처음에 서술한 방법을 비확률 표집이라고 하는데 비 확률 표집법은 임의추출법과 의도적 판단 표본 추출 방법 눈덩이 표집 방법이 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.03.02 | 수정일 2021.06.16
  • 경상대 공보작(공학보고서작성및발표) 중간고사 범위 내용 전부
    비전문가에게 예제, 정의, 비교 등을 적절히 사용.02. 전문가에게는 표준용어 사용03. 주제는 일반적인 것에서 특수한 것으로04. ... / 관측중 하나목적· 작성한 사람의 아이디어를 읽는 사람에게 설득력 있게 전달기본적인 가이드 라인의 이해 필요보고서의 작성 과정- 핵심 내용과 논리 구조를 이용해 개념을 설정하고 설계 ... (Englewood Cliffs, NJ: Prantice Hall, 1977), p. 112.단행본 학술지 &일반리포트단행본 학술지· 학술지 혹은 논문지, Journal (연구/실험
    시험자료 | 63페이지 | 2,000원 | 등록일 2021.12.06
  • 한수원 합격 자기소개서
    본인이 지원한 직무와 관련된 활동은 어떤 내용인지 간략히 기술해 주십시오. (200자 이내)전자공학 설계실험 A 프로젝트 과제를 맡았을 때였습니다. ... 학교 측으로부터 제작비를 지원받아 진행하였습니다. 또한, 반도체설계에 대한 깊이 있는 이해를 위해 매주 팀별로 세미나를 진행하며 내용을 이해할 수 있었습니다. ... 당시의 상황과 본인의 역할을 육하원칙에 따라 간략히 기술해 주십시오. (200자 이내)3학년 1학기, 창의공학 설계실험 시간에 팀 프로젝트를 진행할 때였습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.10.08 | 수정일 2023.10.11
  • [경북대학교] 사회조사방법론 중간고사 정리본 - 20191 - A+
    경우 표본이 적어 일반화가 어렵다.③실험실 상황과 비실험실 상황차이: 실험실 상황은 통제된 상호아이나 비실험실 상황은 통제되지 않기에 영향줄 수 있다.④반복실험의 영향: 반복실험으로 ... :실험의 경우에는 일반화 가능성이 조금 낮음→ 일반적 상황이 아닌 설계된 특수한 상황이기때문!4) 연구설계의 타당성1)내적타당도a. ... ex)실험집단과 통제집단의 흥분상태에 차이가 있을 것이다. = 실험집단?
    시험자료 | 15페이지 | 6,000원 | 등록일 2020.02.18
  • [a+취득자료] 학위논문실험설계 연구를 찾아 학위주제, 학위명, 이름, 소속 등과 연구방법론, 연구결과를 제시하고, 본인이 선택하게 된 이유와 경험을 개진하시오. (www.riss.kr 학술연구정보서비스)
    그렇기 때문에 통제변인이 얼마나 잘 조작되느냐가 연구의 성공을 좌우한다고 볼 수 있을 것이다.이어지는 본론에서는 실험설계 연구를 사용한 논문을 간단하게 소개하고, 이를 선택하게 된 ... 검증하는 방식을 선택했다. 4가지 가설은 다음과 같다.가설 1 : 기업의 협찬을 받는 파워블로그나 전문성이 있는 블로그가 작성한 협찬 후기가 일반블로그나 전문성이 없는 블로그에 비해서 ... 학위개요여민선, “블로그를 통해 제공되는 정보가 소비자 반응에 미치는 영향“, 고려대학교 대학원 경영학과 학위논문, 20152.
    리포트 | 3페이지 | 2,500원 | 등록일 2024.05.28
  • 건물의 흔들림을 억제하는 여러 요소에 관한 연구
    건물 본체에 피해를 감수하고 내진동을 감소하는 구조이다.2) 내진설계의 특징① 부재의 단면 증대② 비경제적 설계③ 건축물의 중량 증가【그림 ? 3】내진구조다. ... 연구 시기본 연구는 20××년 4월부터 20××년 6월까지 총 2개월에 걸쳐 2차례씩 실시하였으며 4월 중 실험 계획 및 진행, 6월 중 소논문 작성을 실시한다.활동 일자활동 장소실험 ... 5】 건물의 흔들림을 억제하는 여러 요소 측정 실험 12【표 ? 6】 내진동 생성 모습 13【표 ? 7】 논문 작성 13【표 ? 8】 층수에 대한 기울임 14【표 ?
    리포트 | 27페이지 | 20,000원 | 등록일 2023.07.17 | 수정일 2023.07.19
  • 사회복지조사 과정 중 조사도구 개발 시 개념화와 조작화를 사례를 활용하여 설명하시오. 서론 (1)
    이는 전실험설계 수준으로, 가설을 증명하는 것보다 문제 도출에 목적을 둔다. ... 즉, 남은 유의미한 295명 역시 완전히 신뢰할 만한 답변을 내놓았다고 보기 어렵다고 본다.그러므로 순수실험설계의 유형 중, 요인설계방법을 이용한다면 어땠을까 하는 생각이 든다. ... 20문항의 리커트 척도로 구성되어 있다.내현적 자기애는 강선희, 정남운(2002)이 참조한 Akhtar, Thomson(1982)의 ‘자기애적 성격장애의 임상적 특성’을 배경으로 비임상집단
    리포트 | 3페이지 | 3,000원 | 등록일 2024.07.15
  • 학습심리학_학습심리학의 고전적 조건형성 및 조작적 조건형성과 관련된 실험 3개를 요약하여 작성하세요.
    본론(실험의 연구설계를 포함하고, 구체적으로 독립변수 종속변수, 연구 결과) 1) 파블로프의 개 실험 (1) 연구설계 파블로프는 개의 침샘 관을 원래 위치에서 떼어내고 개의 볼에 구멍을 ... 한 수학교육지도, 울산대학교 서거사 학위논문, 2008. ... 또한 경험을 통해 습득되고 비영구적인 반사 개체들 사이에서의 형태의 조건 반사는 조건자극이 조건 반응으로 나타내어진다. (2) 구체적인 독립변수, 종속변수, 연구 결과 독립변수는 먹이와
    리포트 | 5페이지 | 3,000원 | 등록일 2023.02.05
  • 간호학과 과제 간호관련 논문 요약 2편
    연구 설계 - 연구 대상자 선정비동등성 대조군 전후 시차 설계의 유사 실험 설계연구이다. 독립변수는 복식호흡이며 종속변수는 환자의 통증, 상태불안, 혈압과 심박동수이다. ... 연구 설계 - 연구 대상자 선정본 연구는 아토피 피부염 아동에게 웃음요법을 적용하여 생리적 반응, 신체적 반응, 심리적 반응에 미치는 효과를 분석하기 위한 유사 실험연구로서 비동등성 ... 대조군 전·후 실험설계를 이용하였다.
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.15 | 수정일 2020.11.14
  • 방송통신대학교(방통대) 데이터분석사례연구 과제 (30점/30점)
    비과학적 연구방법에서의 일시적 관찰에 의한 편향된 ‘경험’과는 다르게 과학적 연구방법에서의 ‘경험’은 체계적이고 표준화된 관찰 방법이나 통제된 실험을 통해서 이루어진다. ... 서론은 연구의 목적을 기술하는 단계로, 충분한 배경지식을 설명하고, 논문의 목적과 시사점을 포함한다. 방법 단계에서는 연구 설계 내용을 소개하며 정확성과 재현가능성을 기술한다. ... 것으로 간주하여 탐구를 중단함연구설계의 형태를 연구목적에 따라 분류(일반적인 분류)한다고 할 때, 이에 관해 간략히 기술하고 설명하라.일반적으로 연구 목적에 따라 연구설계를 1)
    방송통신대 | 6페이지 | 3,000원 | 등록일 2020.04.10
  • [건강행태학] 건강 행동을 유도하는 논문 소개 및 토론문 (Nudge)
    설계: 질적 연구(선택 구조 분석)와 비동등성 대조군 사전 사후 설계를 혼합? ... 건강 행태 변화를 유도하는 논문 요약 및 토론문1. ... 대조군보다 실험군에서 백신 접종률이 유의하게 높음(20% vs. 28%, p=.006)?
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.17
  • 교수님께 칭찬받은 간호연구 과제 (엄청 디테일하고 연구도구 문항도 직접 만듬 절대 후회안할 퀄리티! 다운받고 과제에 참고하시고 편하게 과제 하세요) 연구주제- 간호사에게 개인통신장비를 지급하는 것이 환자의 간호사와의 의사소통 만족도(간호만족도) 에 미치는 효과 (간호사-환자 의사소통)
    -결론 및 연구와의 관계 연구방법1) 연구 설계본 연구는 개인통신장비를 이용한 의사소통을 한 실험군과 개인통신장비를 이용한 의사소통을 하지 않은 대조군의 비동등성 대조군 사전-사후 ... 설계를 이용한 유사 실험연구이다.2) 연구 대상본 연구의 대상은 B시에 소재하고 있는 K대학교에 입원중인 정형외과 환자를 대상으로 연구를 실시한다. ... 49명 대조군 49명에서 탈락율을 고려하여 실험군 57명 대조군 57명으로 설정하였다.
    리포트 | 6페이지 | 2,500원 | 등록일 2024.05.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:56 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대