• 통큰쿠폰이벤트-통합
  • 통합검색(131)
  • 리포트(112)
  • 자기소개서(18)
  • 논문(1)

"디지털시계 vhdl" 검색결과 61-80 / 131건

  • VHDL - Digital Watch 소스. 정상 동작 확인. A+
    디지털 설계 , 콤보2 장비를 사용했습니다. vhdl 프로그램 소스입니다.VHDL - Digital Watch 소스 입니다. ... 메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등 포함되어 있습니다.모드 변환이 가능하며 스탑워치 start / stop, reset 기능 있습니다. ... 알람 소리는 입니다.시간 설정 모드는 아래의 버튼을 누르고 있으면 시간이 증가하고 모드를 변경해도 원래의 시계가 설정된 시간에 따라서 계속 진행됩니다.모드에 따라
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • VHDL을 이용한 digital watch 설계
    실습명 : Digital Watch2. 실습 목표? 계층구조를 사용하여 디지털시계VHDL로 구현하고 DE2 보드동작을 확인한다.? ... 계층구조를 사용하여 디지털시계VHDL로 구현하고 DE2 보드동작을 확인하였으나있었다. ... 디지털 시계디지털 시계란.. 네이버 국어사전을 보면 바늘대신 숫자로 시간을 표시한 시계라고 되어있다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다.
    Digital Clock- 시, 분, 초를 표시하는 디지털 시계- 입력 클럭(4MHZ)를 분주하여 1초 단위로 상승- 12:59:59 다음은 01:00:00가 되도록 12시간제를 적용 ... 울리는 VHDL 모듈을 설계하고 이를 FPGA키트를 이용하여 구현한다.2. ... Project Purpose이번 프로젝트의 목적은 7-segment를 이용하여 시, 분, 초를 나타내는 Digital Clock과 분, 초, 1/100초를 이용하여 초시계를 구현한
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer std_logic_vector(3 downto 0); seg_data : bu..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • FPGA를 이용한 디지털 시계
    VHDL을 이용하여 실생활에 많이 이용되는 디지털 시계를 구현하여 Clock의 활용을 익혀 VHDL 응용 능력을 기른다. ... • FPGA를 통해 도트 매트릭스를 VHDL로 구현하여 제어기술을 익힌다.
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • [9주차] DIGITAL CLOCK
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... VHDL Source------------------------------------------------------------------------------------------ ... Rov-Lab 3000LoV-Lab 3000은 회로 구현 및 실제 동작 테스트에 이르는 전과정에 필요한 Kit와 각 모듈을 분리 및 재조립하여 역동적인 실습이 가능한 로봇으로 구성되어 있어 VHDL
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 디지털 시계 설계
    * 2차 프로젝트디지털 시계 설계**1. ... VHDL 소스◎ MODE_GEN.VHDlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • [논리회로실험] 실험11. 디지털 클락
    VHDL을 이용하여 간단한 디지털 시계를 설계한다. ... 과 목 : 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 실험에서는 ... 우리는 DIGIT들이 빠르게 넘어가는 가면서 남기는 잔상(?)을 이용해서 시계를 읽는 것이다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    시계 회로참고 서적최신 디지털 공학 VHDL을 이용한 FPGA 디지털 설계 ... REPORT교과목담당 교수님제출 날짜팀원전 공학 번이 름Digital Clock작품 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • Xilinx VHDL을 활용한 슬롯머신 설계
    과제의 필요성주어진 소프트웨어와 하드웨어로 가능한 창의적인 작품을 설계하는 능력과 VHDL코드 작성능력을 향상시키고 팀 프로젝트를 함으로써 역할 분담 및 자기가 할당 받은 일을 수행할 ... 1clockS : inout STD_LOGIC_vector(0 to 3); -- score1의 자리S1 : inout STD_LOGIC_vector(0 to 3); -- score10의 자리digit
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • VHDL을 이용한 Digital clock project 기획안
    VHDL을 이용한 Digital clock project1. 서론현대 사회에서는 디지털이라는 용어가 빠지지 않는다. ... 카운터 회로의 동작을 이해함으로써, HDL을 사용하여 디지털시계를 설계한다.② 필요성 및 사례 조사- 디지털 시스템을 설계하는 과정에서 VHDL에 대해서 공부할 수 있고 그 기본적인 ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.10
  • 디지털논리회로 설계 프로젝트 보고서
    시계 각 자리의 출력은 총 6개의 Seven Segment로 알맞게 들어간다.영문요약 : We made "Digital Clock" with Quartus Ⅱ and Digital ... 디지털논리회로 설계 프로젝트 보고서프로젝트의 제목 : 디지털 시계 설계설계자(팀원 전체) 성명 :제출일 : 2008년 12월 20일국문요약 : 디지털 논리 회로 시간에 배웠던 논리 ... 회로와 조합 회로에 대한 지식을 바탕으로 디지털시계를 Quartus Ⅱ를 이용해서 만들어 보았다.
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • EDA LAB-3000 적용한 디지털시계
    실습조별 과제로VHDL을 이용한 디지털시계를 구현버튼 1, 2, 3 을 사용하여리셋/ 설정모드변경/ 증가버튼I/O Pin 설정은 EDA LAB-3000 에 적용시켰습니다.
    리포트 | 1,000원 | 등록일 2010.11.11
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4. ... 시계가 익숙한 현 세대에 더욱 선호되는 편이다. ... 개요- Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • VHDL-Final Project Digital Clock 만들기 <- A+
    하지만, 이러한 LCD interface 코드를 가지고, 시시각각 변하는 디지털 시계를 구현하라는 것은 다소 무리가 있어 보였다. ... 실험 목적지금까지 배운 Spartan b/d 를 구현 하기 위한 VHDL 코드를 이용한 Digital Clock code를 작성하고, Spartan b/d 에 Download 하여 ... LCD 에 시계가 표현되도록 설계한다.2. 1조 실험 계획표11/17 ~ 11/19 : Proposal of design for digital clock 토론 및 간략 로 우리가 사용하는
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 논리회로실험 2014 Digital clock
    Purpose 1) 4MHz의 오실레이터 clock을 분주하여, 시, 분, 초를 나타내는 디지털 시계를 설계한다.2) RoV-Lab 3000을 이용하여 회로 설계를 검증한다.2. ... Background이번 실험은 4MHz의 오실레이터 clock을 분주하여, 디지털 시계를 설계하고 RoV-Lab 3000을 이용하여 설계한 회로를 검증하는 것이 목적이다. ... isport( rst_n : in std_logic; -- reset 입력clk : in std_logic; -- clock 입력digit : out std_logic_vector
    리포트 | 11페이지 | 1,000원 | 등록일 2014.11.05
  • [디지털시스템] Project1 보고서 VHDL을 이용한 Digital Clock 설계(최종) (소스포함)
    3.1 설명된 내용을 바탕으로 SELECTOR, DIVIDER 를 설계하고 DIGITAL CLOCK CHIP 을 완성한다.
    리포트 | 30페이지 | 5,000원 | 등록일 2008.07.07
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    시계 기능 분석기능별 VHDL 구현VHDL 통합 컴파일 / 디버깅FPGA보드 구현진행률0%10%30%50%100%*전반적인 시스템 설명■ 전반적인 시스템 설명 Top : 아래의 component를 ... 시계에서 시간을 생성하는 블록 DATE : 디지털 시계의 날짜를 생성하는 블록 STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록 SELECTOR : 각 블록에서 ... DATE : 시계의 날짜를 생성하는 블록. 매 월마다 날짜가 다른것을 고려하였습니다. STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 ... 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 보드에 설계결과를 다운로드 후 동작여부를
    리포트 | 3,000원 | 등록일 2014.12.30
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다 ... . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계를 설계해보았다. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대