• 통큰쿠폰이벤트-통합
  • 통합검색(10,956)
  • 리포트(9,390)
  • 시험자료(651)
  • 자기소개서(326)
  • 서식(297)
  • 방송통신대(236)
  • 논문(39)
  • 이력서(7)
  • 노하우(6)
  • ppt테마(4)

"가산기" 검색결과 61-80 / 10,956건

  • 부산대 어드벤처디자인 실험9 A+ 결과보고서(4비트 가산기)
    7주차 실험 보고서(실험 6)1. 4비트 가산기 연결 실험에 대한 이론값과 결과값에 대한 비교[사진 1] 4비트 가산기 회로 [사진 2] 4비트 가산기 이론값[사진 3] 4비트 가산기 ... 3) 다른 종류의 가산기를 조사하여 32비트의 가산기를 구현할 경우에 가장 빠른 속도를 나타내는 가산기를 구하시오.- 반가산기가산기는 1 bit 짜리 2진수 두 개를 덧셈한 합과 ... [사진 1]은 4비트 가산기를 회로로 연결한 모습이고 [사진 2]는 4비트 가산기의 진리표를 나타낸 그림이다. 이론값과 실험값을 비교하면 실험값과 이론값은 동일하게 나타나였다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • 기초전자회로실험 예비보고서 - n-bit 이진가산기
    이때 반가산기에서는 고려되지 않던 하위의 가산결과까지 고려하여 올림수로 처리할 수 있도록 만든 회로이며 일반적으로 가산기 두 개와 올림수용의 회로로 구성된다위와 같이 가산기 A와 B ... 그리고 올림수용회로 Z로 논리 게이트가 구성됨을 확인할 수 있다n-bit 이진 가산기: 가장 낮은 비트(LSB:least Significant Bit)의 가산기에는 반가산기를,나머지 ... 상위 비트 계산 부분에는 전가산기를 구성하여 n-Bit 이진 가산기를 구성할 수 있다.LSB에 관해서는 왼쪽과 같이MSB의 반대로서 표현할 수 있다.즉, LSB를 반가산기로하고 나머지
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 기초전자회로실험1 10주차 n-Bit 이진 가산기 예렙
    -XOR, AND, OR 게이트 또는 NAND/NOR 범용 게이트를 이용하여 반가산기와 전가산기를 구성할 수 있다 . - 반가산기 하나와 (N-1) 개의 전가산기를 이용하여 n-bit ... 전가산기를 구성하여 n-bit 이진 가산기를 구성한다 . ... Co 을 더한 합 S1 와 윗자리로의 자리올림 C1 을 출력하는 조합회로이다 . [ n-bit 이진 가산기 ] 가장 낮은 비트의 가산기에는 반가산기를 , 나머지 상위 비트 계산 부분에는
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • (기초회로 및 디지털실험) 4비트 전감가산기 설계 [4 bit adder-subtractor]
    이러한 전가산기는 두 개의 반가산기와 1개의 OR 게이트로 구성된다. ... 또한 전감산기와 전가산기는 각각, 반감산기와 반가산기가 2개씩 모여서 만들어 질 수 있다는 것도 알 수 있었다. ... 컴퓨터는 전가산기를 반가산기 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다.Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드Testbench ... .-4비트 가산기의 구현 조건1. 1bit full adder의 동작을 포함한다.2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다.3-1. ... 아래의 표는 6ns에서 X, Y값이 변할 때 각 비트의 가산기에서 출력되는 캐리를 정리한 것이다. 6ns에서 Cin=’1’이므로 아래와 같다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전가산기(4-bit adder) 예비
    가산기 설계(A) 전가산기에 대한 진리표를 작성한다.A,`B는 더해지는 입력이고C _{i`n}은 하위 전가산기에서 생긴 carry이다.A,`B`,C _{i`n}을 모두 더했을 때 ... (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다.앞의 전가산기의 carry out은 뒤 전가산기의 carry in이 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.23
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다.X와 Y, 두 ... .(2)위에서 생성한 전가산기 셀을 이용하여 4-bit 가감산기를 설계하시오.4-BIT Adder Subtractor 심볼4-BIT Adder Subtractor 심볼위 과정(1)에서 ... : XOR)Co의 논리식: Co = XY + YZ + ZXXYCinSCo0*************00110110010101011100111111위의 진리표로 ORCAD에서 전가산기
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 가산기와 감산기
    및 토의전가산기와 전감산기의 회로를 구성하는 것이 조금 복잡하다. ... 1)AND, OR, 그리고 XOR 게이트를 이용한 전가산기입력출력ABCSC _{0}0*************1101110111결과실험2)AND, OR그리고 XOR게이트 전감산기 회로입력출력XYZDB000101110011100001010111결과전가산기전감산기결과표결과 ... 가산기는 2개의 반감산기를 이용해서 만들 수 있고 감산기는 2개의 반감산기를 통하여 만들 수 있는 것을 확인 할 수 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 가산기 감산기
    REPORT제목 : 가산기&감산기수강과목 : 기초전자실험21.실험목적-가산기와 감산기가 무엇인지 이해한다. ... -가산기와 감산기의 동작원리에 대해 이해하고 실험을 통해 확인한다.2.실험 배경 이론가산기*반가산기한자리 2진수 2개를 입력하여 합(s)과 캐리(c)를 생성하는 회로- 0+0=0→00 ... - 0+1=1→01- 1+0=1→01- 1+1=2→10*전가산기2진수 2개와 아래 자리 연산에서 발생한 캐리를 입력하여 합(S)과 캐리(S)를 생성하는 회로감산기*반감산기한자리 2진수
    리포트 | 8페이지 | 2,000원 | 등록일 2018.11.02 | 수정일 2019.07.13
  • 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    1. 설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. 각 add4 module은 2개의 sub-module인 add2로 구성되어 있고, 각 add2는 2개의 sub-module인..
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 가산기
    고찰이번 실험에서는 반가산기와 전가산기, 이 둘이 합쳐진 전 가산기에 대해 알 수 있었다. ... . 2비트 덧셈기는 반가산기 1개와 전가산기 1개를 사용하여 반가산기의 캐리(출력)를 전가산기의 캐리(입력)로 포함하여 구현되는 회로이다. ... 위의 실험에서 구현한 반가산기와 전가산기를 연결하여 만들어지는 2비트 덧셈기의 결과를 이론적으로 설명하라.
    시험자료 | 8페이지 | 1,500원 | 등록일 2015.06.23
  • 가산기
    *고찰1) 실험1에서의 회로에서 R₁R₂일 때 공급기 전압 V가 거의 R₂에 걸린다. ... 그러므로 전압이 일정할 때 R₁이 R₂보다 작다는 것은 R₂가 R₁보다 에너지 소비량이 많으므로 공급기 전압이 R₂에 거의 걸린다고 볼 수 있겠습니다.2) 실험3에서의 회로에서 R₁R₂일
    리포트 | 3페이지 | 1,000원 | 등록일 2015.05.03
  • 가산기와 감산기
    가산기와 감산기, 4비트 2진 비교기 실험● 실험 목적- 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 본다 ... 구하는 논리회로이다.② 반감산기 설계- 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와Borrow를 구하는 회로이다.③ 전가산기 ... .- 4비트 2진 비교기를 만들어 진리표를 만들고, 출력 상태를 기록한다.① 반가산기 설계- 각각 2개의 입력 단자와 출력단자를 가지는 회로로써, 두 개의 비트를 더하여 Sum과Carry를
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 가산기와 감산기 회로 레포트
    가산기와 감산기 회로1. 실험목적① 가산기 회로 설계 및 실험② 감산기 회로 설계 및 실험③ BCD 가산기 회로 설계 및 실험2. ... 반가산기 2개로 전가산기를, 반감산기 2개로 전감산기를, 감산기의 경우 감산기모듈 외에 보수를 취해 가산기로 만들 수 있다는 것을 알게 되었다. ... 배경이론- 가산기① 반가산기 : 2개의 2진수 A와 B를 가산하여 합의 출력 S(sum)과 자리올림수 C(carry)의 출력을 얻는 논리 회로② 전가산기 : 2개의 2진수 An과 Bn을
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • 가산기 실험보고서
    이번 실험을 통해 무엇을 알 수 있는지 자세히 기술하시오.이번 실험은 NAND게이트를 연결하여 반가산기, 전가산기를 구성하여 다이오드를 통해 작동 여부를 판단하고 반가산기, 전가산기를 ... 실험목적본 실험을 통해 반가산기에 대해 알아본다.전가산기에 대해 알아본다.2비트 덧셈기에 대해 알아본다.2. ... 이 때문에 반가산기로는 올바른 가산을 할 수 없으며, 두 개의 반가산기를 결합해야만 전가산기를 구성할 수 있다.A`B`C`S`C_\out`0 0 00 0 10 1 00 1 11 0
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 가산기와 감산기
    목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 반감산기와 전감산기의 원리를 이해한다.(3) 가산기와 감산기의 동작을 확인한다.(4) 가산과 감산을 할 수 있는 회로를 설계하는 ... 주도록 하는 가산기를 전가산기라 한다. ... 이론 내용(1) 반가산기 (half adder)2진수로 표시된 2개의 수를 합해서 얻어진 가산기를 반가산기라 합니다.
    리포트 | 14페이지 | 1,500원 | 등록일 2016.11.10
  • 가산기와 전가산기(예비)
    가산기와 전가산기(예비)2012044011 김주형실험목적.1) 반가산기와 전가산기의 원리를 이해한다.2) 가산기를 이용한 논리회로의 구성능력을 키운다.이론.1) 2진 연산: 2진수 ... 그래서 XOR게이트라고 부르기도 하며 반가산기 또는 1/4가산기라 부르기도 한다. ... 것이며 자리올림이라고 할 수 있다.2) 반가산기: 진리표를 살펴보면 XOR과 같은 결과를 나타낸다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.04.20
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 'High‘ 일 때 두 번째 자리를 출력하고, 'High'가 아닐 때 첫 번째 자리를 출력한다.4비트 가산기결과3, 3 입력15, 3 입력15, 7 입력9, 9 입력BCD 가산기VHDL코드 ... 입력의 범위를 제한하고 합을 구하는 것은 앞의 4비트 가산기 설계와 비슷했으며, 합이 9이하인 경우는 10의 자리 수에 0을 할당하고 그대로 출력하면 되었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 연산증폭기를 이용한 가산기와 감산기
    실험 제목 : 연산증폭기를 이용한 가산기와 감산기1. 실험목적연산증폭기를 이용한 가산기와 감산기의 동작을 설명하는 데에 있다. ... 실습 관련이론2.1 가산증폭기와 같이 3개의 입력신호를 가지는 가산 증폭기를 설계하였다. 이 회로의 동작원리를 알아본다. ... 스케일링 가산기의 대표적인 응용이 D/A변환기 회로이다.3개의 력을 가지는 가산증폭기2.2 감산증폭기두 입력 신호의 감산을 위해 감산증폭기를 구성하는 방법에는 2단의 연산증폭기를 사용하는
    리포트 | 4페이지 | 1,500원 | 등록일 2018.12.05
  • 연산증폭기 가산기 실험 결과레포트
    연산증폭기 가산기 실험1. 실험 부품: OP AMP-2개저 항-10k OMEGA 3개2. 실험 방법① 위의 연산증폭기를 이용한 가산기 실험회로를 구성하여라. ... 오차율 0%연산증폭기를 이용한 가산기는 다수의 입력전압을 가산하여 출력전압으로 나타나게한다. 이번 실험에서는 두 개의 입력전압v _{1},v _{2}를 이용했다. ... 또한 다음의 가산기 이론식을 이용하여 출력전압의 이론값을 계산하여 표에 기록하라.v _{0} (t)=-[ {R _{3}} over {R _{1}} v _{1} (t)+ {R _{3}
    리포트 | 2페이지 | 1,500원 | 등록일 2019.05.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대