• 통큰쿠폰이벤트-통합
  • 통합검색(1,311)
  • 리포트(1,193)
  • 자기소개서(65)
  • 방송통신대(27)
  • 시험자료(18)
  • 논문(7)
  • 표지/속지(1)

"디지털시계 회로" 검색결과 621-640 / 1,311건

  • 멀티미터 사용법 및 기초 회로 실험
    먼저 회로의 도는 방향(시계방향 또는 반시계방향)을 정하고 그 방향으로 돌아가는 기전력 E와 전압강하 IR의 부호를 정한다. ... DVM이나 DMM에서는 아날로그 입력을 그것과 등가인 디지털량으로 AD변환기에 의해 변환하여 로직회로를 통하여 표시한다든가, 외부에 전송한다든가 할 수 있다.3) Power Supply전원 ... 전지는 저항의 측정 시에만 사용되며 저항 측정 횟수가 많을수록 기전력의 감소가 발생하게 되므로 이에 대한 보상이 항상 필요하다.2) 디지털 멀티미터디지털 전압계는 아날로그 전압계에
    리포트 | 9페이지 | 1,000원 | 등록일 2012.01.24
  • 웨어러블 컴퓨터
    차세대 PC 플랫폼- 레고블럭 모듈의 분리형 입는 컴퓨터- 직물형 회로 보드 프로토타입 개발- u-컴퓨팅 서비스 연동 의류형 단말 시제품 개발# 한국생산 기술 연구원- 전도성 나노 ... 시계형 디바이스는 갤럭시 기어, 안경형 스마트 디바이스는 구글 글라스가 대표적이다.마지막 기능은 산업, 군사기능이다. ... 온도계, 고도계, 나침반, 휴대 전화, 터치스크린, GPS, 지도 표시, 컴퓨터 스피커, 달력 기능, 손목시계, SD 카드 용량 장치 인식 기능과 재충전 배터리 등의 기능을 갖추고
    리포트 | 13페이지 | 3,000원 | 등록일 2014.05.15
  • 오실로스코프 Report
    디지털 오실로스코프의 프로브를 회로에 연결했을 때, 수직 시스템은 아날로그 오실로스코프에서처럼 신호의 크기를 조절하고 획득시스템에 있는 아날로그-디지털 변환기에서 이산적인 점들로 신호를 ... 샘플한 후, 이 디지털 값들을 전압으로 변환시킨다. ... 완전히 돌리면 눈금맞추기(Calibration) 즉 TIME/DIV 선택위치의 동일한 시간간격이고, 반시계방향으로 완전히 돌리면 대개 2.5배 정도까지 시간간격이 늘어난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.01.12
  • 전자회로실험 - Digital Stop Watch2
    실험제목 : Digital Stop Watch2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ3. 제출일 : 2010년 10월 13일 수요일4. 실험목적? ... 나머지 디지털입력을 받는 두 개의 DAQ는 그림과같이 연결하는데 아래의 DAQ에서 240을 빼주는이유는 아래의 DAQ가 포트 0.0~0.7즉 8bit인데 우리는 4개의 비트만 쓰는데 ... 1초단위이므로 U1A가 0~9까지 셀때마다 U2A의 CLK에 신호가 한번씩 입력되게 해주기 위해 U1A의 QA와 QD를 엔드게이트로 연결해서 U2A의 CLK로 입력을 주면 실제 시계처럼
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 조합논리회로 실험 이론정리(10주차)
    흔히 디지털 시계에서많이 볼 수 있는 형태이다. ... 나의 생각이지만 이런 방식이 아마도 TV에 특정 채널에 방송을 보내는 방식이 지금 방식과 비슷한 방식이 아닐까 하는 생각이 들었다.패리티 회로패리티 회로디지털 신호가 사용되면서 ... 예상치 못한 에러를 발생할 경우 그것을 검출 해내는 것인데 디지털 신호에서 에러를 발생하는 경우는 극히 드물다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.09
  • 디지털 시계 제작 정리
    21디지털 시계 제작디지털 시계 제작요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계를 10진 카운터, 6진 카운터, 24진 카운터를 이용하여 손쉽게 설계해본다 ... 오차를 줄이고 편안함을 가져다 준 아날로그와 디지털의 기초가 확립된 것은 액정표시방식의 시계 미국 “해밀턴”사에서 개발한 쿼츠 디지털 시계이다. ... 내가 생각하기에는 현대인에게는 아날로그 시계보다는 디지털 시계가 더 적합하다고 생각된다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • Maxplus를 활용하여 디지털시계제작
    디지털 논리 설계< 설계 주제 >Counter를 활용한 디지털 시계- 설계 목표 : Counter를 이해하고 Counter를 활용한Counter시계(디지털시계)를 설계해 보자.- 설계 ... (복잡하고 큰 회로를 축소가능)⑥ 각 카운터 Symbol을 연결하여 최종회로를 구현하고compiler 와 simulator를 통해 카운터작동을 확인 한다.( Group 기능 사용시 ... .③ 초와 분을 표현하기 위해6진 카운터를 설계한다.④ 시간표현을 위해 12진 카운터를 설계한다.⑤,⑥ 각 카운터에 대한 Symbol을 생성 및 각 카운터 Symbol을연결하여 최종회로
    리포트 | 14페이지 | 3,000원 | 등록일 2010.12.14
  • 디지털 시계 설계
    실험 목적※ 카운터를 이해 한다.※ 디코더를 이해 한다.※ 7Segment를 이해한다.※ 위를 바탕으로 디지털 시계 회로를 설계해본다...PAGE:51.실험 목적1-2 .설계 기준※ ... 디지털 시계의 구조 및 개요디지털 공학 텀프로젝트..PAGE:72. ... 설계.pptx..PAGE:1디지털 시계 설계2조 나이키조장 : 염규원조원 : 박재웅, 서기원,나태흠, 황재민,박남규, 한진수디지털 공학 텀프로젝트..PAGE:2목차실험 목적디지털
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.05
  • 실험(4) 프로젝트
    회로분석그림 1 Digital Clock 회로회로의 구성을 거시적으로 살펴보면 FND, 74LS47, AT80S51, Buzer, 스위치, Crystal과 기타소자로 구성되어 있다 ... = 0xFF;// FND// 0000 0011, P3.0과 P3.1을 읽어 동작모드 결정if (c == 3) { // P3.1 = 1, P3.0 = 1clock_mode();// 시계모드 ... 뿐만 아니라 Digital Clock의 하드웨어적 구성과 소프트웨어적 구성을 분석하여 동작원리를 알아본다.2. 시스템 구성2.1.
    리포트 | 20페이지 | 3,000원 | 등록일 2013.11.23 | 수정일 2014.09.09
  • 실험 장비 사용 방법
    전류제한기 및 전압 조절기를 반시계 방향으로 끝까지 돌린 후 전류제한기는 대략적으로 1/4정도 시계방향으로 돌려놓고 전압을 원하는 크기로 서서히 올려준다.나) 주의 사항? ... -전원 공급기전류제한기 및 전압 조절기를 반시계 방향으로 끝까지 돌린 후 전류제한기는 대략적으로 1/4정도 시계방향으로 돌려놓고 전압을 원하는 크기로 서서히 올려준다.그림에 나타난 ... 전기, 전자회로 실험에 사용되는 기본 장비의 원리와 동작방법, 주의사항을 익힌다.2. 이론1) 오실로스코프(Oscilloscope)①.
    리포트 | 21페이지 | 1,000원 | 등록일 2012.03.22
  • 콘덴서 예비
    실험기구 및 장치① 콘덴서 충방전 용 측정 명판② 전원장치③ 콘덴서 팩④ 저항팩⑤ 초시계디지털 멀티메타 혹은 검류계⑦전류측정용 저항4. ... 실험방법⑴ 그림과 같이 전원장치, 저항, 콘덴서, 전류계 및 전압계 등을 설치한다.이 때 콘덴서의 극성에 주의하여 팩을 설치하고, 스위치는 중앙에 놓이게 한다.측정용 명판⑵ 디지털 ... 실험목표저항과 콘덴서로 이루어진 회로에서의 전류의 시간적 변화, 충 방전 과정을 알아본다.2. 실험이론1) 콘덴서란 무엇인가?
    리포트 | 5페이지 | 1,000원 | 등록일 2012.05.28
  • 응용논리회로 텀프로젝트 제안서
    또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를 ... 이번 Term Project로 디지털 시계를 구상하고 설계해보는 과정을 통하여 디지털 시계의 구동원리 및 방법에 대해서 인지 할수 있는 계기를 마련합니다. ... 응용논리설계 및 실습Final Term Project(디지털 시계 설계)1.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • [e-비지니스 공통] 1. 유비쿼터스 컴퓨팅 분야에서 인터페이스 관련 기술 영역 세 가지를 제시하고, 그 각각에 대해 간략히 설명하시오.
    대형 장치를 필요로 하였던 음성 인식 장치와 음성 합성 장치를 대규모 집적 회로(LSI)의 발달에 따라 가로세로 수 mm 크기의 집적 회로 위에 실현할 수 있게 됨으로써 음성 입출력 ... 곧 컴퓨터에 어떠한 기능을 추가하는 것이 아니라 자동차·냉장고·안경·시계·스테레오장비 등과 같이 어떤 기기나 사물에 컴퓨터를 유비쿼터스 컴퓨팅 개념에 대해서 연구를 하게 된 것은 어떤 ... , 외부 전원 공급없이도 동작하는 래디오 센서, 위치 센서, 무선(RF) ganic Light-Emitting Diodes), LEP(Light-Emitting Polymer), 디지털
    방송통신대 | 9페이지 | 5,000원 | 등록일 2014.03.24 | 수정일 2016.10.16
  • [정보화사회와컴퓨터] 정보화사회의 센서, 대체에너지 필요성
    최근의 뇌파나 유발뇌파 신호의 처리방법도 주파수 성분분석 방법, 적응적인 필터에 의한 처리, 신경회로망에 의한 처리, 웨이브 렛 처리 등 다양합니다. ... 함께 최근의 신호 처리기법을 도입하였고 지시기에서도 고체 소자화에 의한 표시, 디지털 표시방향으로 발전되고 있습니다. ... 운동을 자동적으로 계측하여 정보 시스템에 입력하는 센서 시스템의 구성이 요구됩니다.몸의 움직임을 이용하는 방법은 몸 전체를 움직여서 입력하는 방법과 몸의 여러 부분의 공간적 위치를 시계열적으로
    리포트 | 4페이지 | 1,500원 | 등록일 2015.11.21 | 수정일 2017.10.20
  • VHDL을 이용한 Digital clock설계
    ※ Sequence of Mode_1: Clock ➡ Date ➡ Timer ➡ Alram① Clock: s_1~s_2 : Express times_3~s_4 : Express minutes_5~s_6 : Express secMode2(setting): Push the..
    리포트 | 20페이지 | 1,000원 | 등록일 2010.12.13
  • 텀프로젝트 디지털시계입니다.
    디지털 시계회로디지털 시계 회로도를 orcad로 그려보았습니다.2. ... 디지털 시계에 사용되는 부품FND 2개74LS47 2개74LS390 2개74LS08 1개NE555 1개저항 100K, 22K커패시터 0.01uF, 10uF3. ... 디지털 시계에 사용되는 부품 설명- FND (7-Segment)FND는 7-Segment라고도 불리우며 숫자를 표시하기위해 사용된다. anode 방식 과 cathode방식이 있는데
    리포트 | 7페이지 | 1,500원 | 등록일 2010.10.28
  • 예비)실험 6 키르히로프의법칙
    실험절차1)사용기기 및 부품직류전원 공급기디지털 멀티미터저항 : 1kΩ 3.3kΩ 4.7kΩ 10kΩ(1)실험에 사용되는 저항을 실제로 측정한 후, 그림 6-4의 회로를 구성하라.단 ... 시계방향으로 회전하면서 이 법칙을 적용하면이 성립한다. ... 이 식을 정리하면 다음과 같다.그림 6-3 키르히호프의 전압법칙 설명식 6-7에서 좌변은 시계방향으로의 전압상승을 의미하고 우변은 시계방향으로의 전압강하를 의미한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.12.09
  • 정보통신실험 실험보고서_PSK(Phase shift Keying)
    회로보드에서는 디지털 기저대 신호와 반송파를 평형변조기(balanced modulator)에서 합하여 PSK 신호를 만들어 낸다.출력 PSK 신호를 관찰한 결과, 디지털 신호의 high ... 디지털 신호가 low에서 high로 바뀌면 위상이 0도로 돌아온다.위 실험에 사용된 회로보드에서는 디지털 신호의 변화에 대해 0도와 180도 두 가지의 사인파 위상을 사용하는데, 이 ... 위 그림은 채널 1을 NRZ에 연결하고 채널 2를 ASK/PSK 출력에 연결한 뒤, BAL 다이얼을 시계방향과 반시계방향으로 돌려가며 스코프의 출력신호를 관찰한 결과이다.BAL 다이얼을
    리포트 | 7페이지 | 1,500원 | 등록일 2011.01.26
  • [전자공학] 디지털시계 텀 제안서
    및 시조정 회로 설계디지털 시계의 가장 기본이 되는 부분이다. ... 목 표■ 한 학기 동안 실험을 하며 배웠던 기본 / 순차논리회로의 동작을 응용하여 카운터가 기본이 되는 디지털 시계를 직접 설계/제작 해본다.■ 디지털 시계 기능, 스탑와치 기능, ... 세그먼트표현회로에는 매우 빠른 클럭이 인가되어야 세그먼트창에 우리가 원하는 시계카운터 값을 깜박임 없이 표현할수가 있다.그러므로 디지털 시계를 설계할때 필요한 분주기 종류로는 3가지가
    리포트 | 15페이지 | 1,000원 | 등록일 2004.12.17
  • 3. 품목분류 실무(1) 통칙
    TV카메라, 디지털카메라, 비디오카메라5. TV 수신기를 갖추지 않은 모니터. 프로젝터6. ... 불완전 물품 : 본질적 특성을 갖추고 있으면 완성/완전 물품이 분류되는 호에 분류-적용 : 11부 의류, 16부 기계류/전기기기류 , 17부 차량/선박/항공기, 18부 정밀기기/시계류 ... 릴구성 재료에 따라 분류(39, 40, 44, 48류 등)① 제 16부 주 1 제외 물품종류HS종류HS연마제품68류도자제품69류유리제품70류진공청소기8508호가정용전기기기8509호디지털카메라8525호②
    시험자료 | 19페이지 | 2,500원 | 등록일 2014.05.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대