• 통큰쿠폰이벤트-통합
  • 통합검색(9,430)
  • 리포트(8,096)
  • 자기소개서(1,001)
  • 시험자료(175)
  • 방송통신대(72)
  • 논문(48)
  • 서식(27)
  • ppt테마(6)
  • 이력서(4)
  • 표지/속지(1)

"회로도설계" 검색결과 481-500 / 9,430건

  • 아날로그 및 디지털회로설계실습 실습2(Switching Mode Power Supply (SMPS)) 결과보고서
    [그림 1] PWM 제어회로의 모식도[그림 2] PWM 제어회로를 브레드보드에 설계한 사진→ [그림 1]을 참고하여 [그림 2]처럼 브레드보드에 PWM 제어회로설계하였다. ... [그림 5] Buck Converter의 모식도[그림 6] Buck Converter를 브레드보드에 설계한 사진→ [그림 5]를 참고하여 [그림 6]처럼 브레드보드에 Buck Converter를 ... PWM 제어회로설계하였으며 Buck Converter도 설계하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • 전자회로실험 19장 공통 이미터 증폭기 설계 레포트
    26kΩ) = 2.3 kΩZo = RC = 4.1kΩ위의 값을 기반으로 pspice 시뮬레이션을 돌려보았다.Vsig에 f=1KHz, C1=C2=15uF을 사용하였다.실제 실험 결과위의 회로도를 ... 입력전압이 증폭되어 출력전압에 찍히면 조금이라도 떠야 하지만 증폭을 해도 너무 작아서 출력전압도 측정이 되지 않았다.결과보고서 전자회로설계및실험2 실험일: ... 공통 이미터 증폭기 설계실험회로 및 시뮬레이션부품 선정VE = VCC/10 = 10 V/10 = 1 VRE = VE/IE = 1V/1mA = 1 kΩVRC = VCC - VCE –
    리포트 | 5페이지 | 1,000원 | 등록일 2022.12.29
  • 아날로그 및 디지털회로설계실습 7주차 논리함수와 게이트 예비 리포트
    게이트의 기능을 갖는 회로도를 그리고, XNOR (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계한다.NAND 게이트이를 진리표로 ... 및 특성 분석각 게이트들을 사용하여 만든 24 Thermometer to binary 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 24 회로도를 설계한다.Thermometer ... NAND 게이트의 동작 전압을 알 수 있는 회로설계하여 DC전압을 단계적으로 변화시켜 어느 정도의 지점부터 High와 Low로 인식하는지를 알아보았다. 2x4 Thermometer
    리포트 | 10페이지 | 1,000원 | 등록일 2021.09.02
  • [예비보고서] 3.스텝 모터 구동기
    만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지계산하시오. ... 예비 보고서설계실습 3. 스텝 모터 구동기3-3. 설계실습 계획서3-3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... (답안)2개의 BJT와 3개의 저항으로 이루어진 각 Darlington Pair의 회로를 도시하면 다음과 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.03
  • 디지털공학개론 ) 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.디지털 시계의 설계는 우리가 어떠한 방식의 시계를 설계할 것이냐에 따라 카운터의 구성이 달라지게 된다. ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.1. ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.디지털공학개론1.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2022.02.17
  • 분압기(Voltage Divider) 설계 예비보고서
    따라서 원하는 정격전류의 조건 역시 만족하지 못한다.3.2 분압기의 설계(부하를 고려한 현실적 설계)(a) 등가부하를 고려하여 설계목표를 만족하는 분압기를 설계하고 회로도를 도시하라 ... (b) 설계회로의 3 V 출력단자에 등가부하로서 1 ㏀의 부하가 병렬로 연결되었을 때의 출력 전압을 계산하라. ... 위 회로에는 직류 3.43mA의 전류가 흐르므로 10%의 오차를 초과한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.22
  • [A+] 전자회로설계실습 6차 예비보고서
    모든 node의 전압과 branch의 전류가 나타난 회로도와 이때의 출력파형을 PSPICE로 Simulation하여 제출하라. ... 완료) 모든 node의 전압과 branch의 전류가 나타난 회로도와 이때의 출력파형을 PSPICE로 Simulation하여 제출하라. ... 설계실습 06.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.06.21
  • [중앙대학교 ] 전자전기공학부 전기회로설계실습(A+) 예비레포트2
    이 전압을 측정하기 위해 DMM을 추가한 회로설계하여 회로도를 작성하라. ... 10V가 되는 회로에 이 전압을 측정하기 위한 DMM을 추가한 회로설계하여 회로도를 작성하라. ... 문제의 회로회로도로 나타내면 아래와 같다.두 저항의 합성 저항이 20kΩ이므로 위 회로에 흐르는 전류는I= {V} over {R} = {5V} over {20k OMEGA } =
    리포트 | 5페이지 | 1,000원 | 등록일 2020.09.11
  • [중앙대전기회로설계실습] A+ 실습 2 전원, DMM의 내부저항 측정장치 설계 예비보고서
    10V 가 되는 회로에 이 전압을 측정하기 위한 DMM을 추가한 회로설계하여 회로도를 제출하라. ... 단, 회로도에 기준점을 표시하라.3.6 DC power supply의 output 1을 5 V로 조절하고(a) (+)출력단자에 22 MΩ 저항의 한 쪽만 연결하였을 때 22 MΩ 저항의 ... 목적건전지의 출력저항과 DMM의 입력저항을 측정하는 회로설계, 제작, 측정하고 DC Power Supply의 사용법을 익힌다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.05.26 | 수정일 2022.03.07
  • 중앙대 전기회로 설계 실습 예비보고서 4. Thevenin 등가회로 설계
    전류는 V/R이므로 0.98mA가 나온다.3.2 (a) VTh와 RTh를 이론적으로 구하고 Thevenin 등가회로설계하여 회로도를 제출하라.- VTh측정을 위한 회로도 -- RTh측정을 ... 목표Thevenin 등가회로설계, 제작, 측정하여 원본 회로 및 이론값과 비교한다.2. ... (b) RTh를 구하는 실험회로설계하고 실험절차를 설명하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.24
  • [A+] 전자회로설계실습 10차 예비보고서
    사용한 수식 및 수치를 자세히 적어서 제출한다.위의 식을 통해 이다.위의 값을 통해 설계설계도는 과 같다.그림 3.1 Oscillator (신호발생기) 회로도 (B) PSPICE를 ... 되도록 아래 그림 3.1의 신호발생기를 OrCAD를 이용하여 설계하고 설계도를 제출하라. ... 목적OP-Amp를 이용한 Oscillator (신호발생기)를 설계 및 측정하여 positive feedback의 개념을 파악하고, 피드백 회로의 parameter 변화에 따른 신호
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.21 | 수정일 2023.06.23
  • A+ 2022 중앙대학교 전자회로설계실습 예비보고서 6 Common Emitter Amplifier 설계
    모든 node의 전압과 branch의 전류가 나타난 회로도와 이때의 출력파형을 PSPICE로 Simulation하여 제출하라. ... 완료) 모든 node의 전압과 branch의 전류가 나타난 회로도와 이때의 출력파형을 PSPICE로 Simulation하여 제출하라. ... Common Emitter Amplifier 설계과 목 : 전자회로설계실습학 번 :조/이름:3.1 Emitter 저항을 삽입한 Common Emitter Amplifier 설계그림
    리포트 | 7페이지 | 1,000원 | 등록일 2023.02.25
  • 아날로그 및 디지털회로설계실습 실습5(전압제어 발진기)결과보고서
    (Op-Amp 는 Vcc = +5V, Vss = -5V를 인가한다.)위의 표와 같이, 예비 실습 설계 회로도와, 책에 있는 설계 회로도를 참고하여, 사진과 같이 회로도를 설계하고 브레드보드에 ... 결과보고서(설계실습 5. 전압제어 발진기)아날로그 및 디지털 회로 설계실습설계실습 5. ... 실험결과5-4-1 설계한 전압제어 발진기 회로의 구현적분회로를 응용한 전압제어 발진기 회로를 구성하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.09.24
  • 서강대학교 22년도 전자회로실험 4주차 결과레포트 (A+자료)
    (Pspice회로도)(보드 사진)(10) 함수발생기를 사용하여 입력신호를 주파수 1 kHz, 진폭 4 V인 정현파 신호로 인가하라. ... 실험 목적- 병렬 및 직렬 다이오드 리미터 회로설계해보고 동작을 확인한다.- 다이오드 클램퍼 회로를 통해 DC전압이 더해지는 동작을 확인한다.- 반파 정류기, 브리지 정류기를 실험해보며 ... (Pspice회로도)(보드 사진)시뮬레이션 결과 파형 (입력, 출력 파형) (PSPICE)(24) 3-23회로에 을 -10V에서 +10V으로 sweep하여 위를 반복하라.시뮬레이션
    리포트 | 55페이지 | 1,000원 | 등록일 2024.03.24
  • SR플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED PPT
    계속해서 Q 와 Q’ 의 값이 의도대로 나오지 않아 계속해서 수정과 수정을 거듭하여 나온 회로도이다 . ... 즉 , 베이스 (B) 에 걸린 전압이 0.6V 이상이 되면서 트랜지스터가 작동되어 LED 에 불이 들어온다 .설계도 LED 조명도 도어락 쿼터스 시뮬레이션 설계도제품 제작 및 테스트 ... 논리회로 요소설계 프로젝트 SR 플립플롭을 이용한 간단한 도어락과 조도센서를 이용한 LED목차프로젝트 개요 계획 저장할 수 있는 성질을 가진 플립플롭을 사용하여 간단한 도어락을 만들어
    리포트 | 10페이지 | 3,000원 | 등록일 2023.07.26
  • A+ 전자회로설계실습_MOSFET 소자 특성 측정
    구성 및 시뮬레이션 (OrCAD PSPICE)OrCAD를 이용하여 그림 1의 회로도를 설계하여라. (2N7000/FAI 이용, VG와 MOSFET 게이트 연결 시 점퍼 와이어 대신 ... Source가 Short됨을 알 수 있고, Short 영역에 해당되는 식을 사용하였다.= (Drain, Source가 Short된 경우의 )이다.따라서 이다.3.2 MOSFET 회로도 ... 설계실습 4.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.08.21
  • 중앙대학교 실험 예비 보고서 (카운터 설계)
    이용하여 10진 비동기 카운터의 회로도를 그린다. 12-3-2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다.1-3-4 16진 동기 카운터 회로도그림 12-1의 ... 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... 비동기 카운터의 회로도를 그린다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • 실험4. 인코더와 디코더 결과보고서
    예비보고 때 설계회로도 대로 원하는 입력값을 high에 두고 나머지 입력단자들을 GND에 두었으나 적합한 LED가 점등되지 않았다. ... 회로도에서 스위치는 점프선을 그라운드 혹은 5V입력에 두냐로 대체하였다.실험과정 5.2를 진행할 때, IC 74LS147칩을 사용하였다. ... BCD코드10진수DCBA000000001100102001130100401015011060111710008100193.실험 결과 및 고찰실험과정 5.4를 진행중 C,B만 입력값이 존재할 때 6의 출력에 해당하는 LED가 점등되는 것을 확인했으나, 사진으로 남기지 못했다.설계
    리포트 | 7페이지 | 1,500원 | 등록일 2022.05.26
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    먼저 브레드보드에 회로를 구현하였고 브레드보드에서 각 소자마다 구현이 잘 되는 것을 확인한 후 회로도대로 PCB기판에 적용하기 시작하였고 구현이 잘 된 것을 확인한 후 납땜을 시작하여 ... 만들어 보고, 카운터에 대한 이해를 바탕으로 설계에 직접 접목하여서 정해진 범위의 기판 위에 가장 효율적인 회로설계할 수 있는 능력을 함양하기 위함이다.2. ... Term ProjectSubject : 기초전자회로 및 실험 2Theme :ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계담당교수학 번 및이
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • [텀프] 마이크로프로세서 응용 텀프로젝트 - 소형 지게차
    회로도 설명 전체 회로도 (MCU1, S/W 부분 ) ADC 부 ADC 부 위험 감지부 위험 감지부4 . 회로도 설명 전체 회로도 (MCU2, 유선 리모컨 부분 )5 . ... 마이크로프로세서응용 - 위험 감지 초음파 지게차목차 동기 및 목적 사용한 부품 블록도 및 플로우 차트 회로도 설명 관련 이론 시뮬레이션 및 실험 결과 분석 실험 사진 , 동영상 결론 ... 원했던 상황이 아닌 상황에서도 일정 거리가 되면 모터가 멈춰버리기 때문에 거리에 따른 위험 감지만을 사용자가 할 수 있도록 제작했지만 원래 생각했던 대로 구현하기 위해서는 구조적인 설계
    리포트 | 29페이지 | 3,000원 | 등록일 2023.01.05 | 수정일 2023.01.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대