• 통큰쿠폰이벤트-통합
  • 통합검색(22,630)
  • 리포트(19,980)
  • 자기소개서(1,075)
  • 시험자료(922)
  • 방송통신대(445)
  • 논문(117)
  • 서식(61)
  • 기업보고서(15)
  • ppt테마(11)
  • 이력서(3)
  • 노하우(1)

"신호 및 시스템" 검색결과 421-440 / 22,630건

  • 4차 산업혁명은 서로 전혀 다른 분야의 기술이 서로 융합되어 인간 중심형 기술로 변화되는 것으로 나타나고 있다. 본인이 관심있게 지켜본 네트워크 기술의 융합 사례를 선정하여 내용을 정리하여 기술하고, 본인이 생각하는 해당 분야의 향후전망 사회적 파급 효과를 기술하시오
    시스템은 주로 다음과 같은 구성 요소로 이루어져 있습니다. 두 번째 웨어러블 디바이스는 환자의 생체 신호(심박수, 혈압, 체온 등)를 실시간으로 측정하여 데이터를 수집합니다. ... 본 글에서는 네트워크 기술의 융합 사례 중 하나로 스마트 헬스케어 시스템을 선정하여, 그 내용과 향후 전망 사회적 파급 효과에 대해 논의하고자 합니다.2. 본론2-1. ... 네트워크 기술의 융합 (스마트 헬스케어, 웨어러블 디바이스, 사물인터넷(IoT), 빅데이터 인공지능(AI), 의료 플랫폼)첫 번째 스마트 헬스케어 시스템은 네트워크 기술과 의료
    리포트 | 3페이지 | 2,000원 | 등록일 2024.07.22
  • 현대인과정보화사회 중간2개 기말 1개 족보
    상이한 터미널에서 다수의 사용자들이 동일한 컴퓨터를 동시에 사용할 수 있도록 해주는 시스템은? (시분할 시스템(time-sharing systems))19. ... (링(ring) 형)24.시스템 소프트웨어 중에서 중앙처리장치의 운영관리, 입출력 파일관리, 각종 하드웨어 자원과 컴퓨터 시스템의 활동통제 등의 기능을 수행하는 것은? ... 디지털 신호를 음성신호와 같은 아날로그 신호로 변환시켜 주는 통신장비는?(모뎀, MODEM)6.
    시험자료 | 6페이지 | 3,000원 | 등록일 2020.12.04
  • 간호 정보학 - 미래의 의료기술
    필요한 장기만 맞춤형 제작 .인공 장기 현재 연구되고 있는 생체장기배양시스템 .의복형 건강 관리 시스템 다양한 의복형 생체신호 측정 시스템 형태의 개발을 통해 건강을 관리 하는 기술 ... 소비자가 원하는 상품을 예측함 .마인드 리딩 시스템 뇌 표면에 BrainGate 인터페이스를 이식하여 뇌 신경활동을 신호로 컴퓨터에 전달하면 컴퓨터가 해당 신호를 분석하여 움직임을 ... 미래의 의료 기술패치형 무 통증 주사 초 미세바늘로 약물을 주사해 통증 없이 접종 치료하는 기술 ☞ 전문적 의료 훈련 없이 누구나 쉽게 사용 가능 ☞유행성 질환의 예방에 효율적
    리포트 | 15페이지 | 2,000원 | 등록일 2022.02.16
  • 부경대 기계시스템 자동제어2 중간고사
    다음 그림으로 주어진 시스템에 대해 아래 질문에 답하시오. 1. 운동방정식을 구하시오.2. 외력에서 출력까지 까지의 각각의 전달함수를 구하시오.3. ... 전달함수로부터, 신호흐름선도 상태방정식을 아래 가지 표준형으로 나타내시오 5 . (1) (2) (3) (4) (5) 위상표준형 종속표준형 병력표준형 제어기 표준형 관측기 표준형
    시험자료 | 1페이지 | 1,500원 | 등록일 2022.04.09 | 수정일 2022.07.12
  • 화공기사 공정제어 정리본
    제어 시스템: ( ) 측정된 출력변수 제어변수 의 값이 제어에 이용되지못하는 경우공정의 출력을 입력단계에 되먹이지 않고 기준 입력만 ,으로 제어신호를 만들어서 출력을 제어하는 방식 ... : 공정에서 선택된 변수들을 조절하여 공정을 원하는상태로 유지시키는 데에 수반되는 제반조작■ 공정제어의 일반적인 기능 목적- 안전성- 공장 이익 극대화- 외란의 영향을 극복하며 ... 있다=feedback control system : 공정의 출력을 입력 단계로 되돌려서 기준입력과 비교하여 그 오차가 감소되도록 동작시키는 제어방식- (Open-loop) 열린루프
    시험자료 | 18페이지 | 3,000원 | 등록일 2022.03.06 | 수정일 2022.03.28
  • 성결대학교 정보통신공학과 데이터통신 중간고사 족보
    신뢰성이 강화됩니다.단점:오버헤드: 연결 설정에 대한 오버헤드가 있어 데이터 전송 속도가 느릴 수 있습니다.리소스 사용: 연결을 유지하기 위해 리소스가 필요하며, 많은 동시 연결은 시스템 ... 여러 사용자가 같은 전송 매체(전화선)를 공유하며, 각 사용자는 할당된 시간 동안만 전화 통화를 진행합니다.유선 무선 통신: 주파수 분할 다중화(FDM)는 라디오 텔레비전 방송 ... PCM(Pulse Code Modulation)과정아날로그 신호를 디지털 신호로 변환하는 방법 중 하나입니다. PCM은 주로 음성 신호를 디지털 형태로 인코딩하는 데 사용됩니다.
    시험자료 | 4페이지 | 25,000원 | 등록일 2023.12.14 | 수정일 2024.06.01
  • 실버산업론 기말고사
    도로상에 차량특성, 속도 등 교통정보를 감지할 수 있는 시스템을 설치하여 교통상황을 실시 간으로 분석하고, 이를 토대로 도로교통의 관리와 최적 신호체계의 구현하는 교통시스템은? ... *1/1첨단대중교통정보시스템첨단교통량관리시스템첨단물류관리시스템지능형 교통시스템3. ... 실버의료산업은 노인을 대상으로 하는 의료산업 분야를 말한다.의료서비스산업은 건강을 유지하고 증진시키기 위해 질병의 치료, 간호, 예방, 관리 재활 등에 대한 포괄적 의료 서비스를
    시험자료 | 3페이지 | 2,000원 | 등록일 2022.06.27
  • 연세대학교 일반대학원 전기전자공학부 학업계획서
    저는 OO대학교 전기전자공학부에 재학을 하면서 제어시스템설계, 로봇공학, 기초역학, 자동차전자제어, 신호처리응용, 초고주파공학, 전자장, 통신공학, 임베디드시스템, IoT응용, 통신시스템 ... 구현 연구, 가속기 질량분석 시스템 사이클로트론을 위한 RF 공동의 최적 설계 제작 연구, NOMA를 통한 랜덤 액세스 업링크 공유 채널 자원 할당 연구, 무선 에지 네트워크에서 ... 권한 분리 연구 등을 하고 싶습니다.저는 또한 메타버스용 메시지 공유 NOMA를 사용한 목표 지향 의미론적 통신 연구, 궤적 기반 도달 가능 세트를 사용한 비선형 아날로그/혼합 신호
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.26
  • [전자회로실험] BJT를 활용한 음성증폭기 Termproject PPT
    BJT 를 이용한 증폭기 설계 제작 . 국내석사학위논문 영남대학교 대학원 , 2004. ... the fabricated devices, modules, and systemsConclusion Simulation 을 이용해 원하는 결과를 예측한 후 만들어진 회로에서 입력된 ... 원하는 더 큰 크기의 gain 을 가능하게 한다 .Photographs of the fabricated devices, modules, and systemsPhotographs of
    리포트 | 12페이지 | 3,000원 | 등록일 2022.12.29
  • 데이터 통신 컴퓨터 통신 10판 / 성진미디어 / 10장 복습문제
    selective fading): 신호의 주파수 성분에 따라 다른 영향을 주며, 신호 대역폭 일부에서 감쇄가 발생한다.10.9- 디지털 트래픽 채널: 1세대 시스템은 순수 아날로그이지만 ... 거리마다 발생한다.- 느린 페이딩(slow fading): 사용자가 파장보다 긴 거리를 돌아다닌다면, 다양한 높이의 건물들과 공터 교차로 등을 지나게 되므로 도심 환경의 변화로 ... 걷거나 서 있는 보행자에게 384kbps의 데이터 전송률 제공- 사무실 내의 사용자에게 2.048 Mbps의 전송률 지원(단계적 도입)- 대칭과 비대칭 데이터 전송률- 패킷 교환
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • 한양대학교 일반대학원 전기공학과 학업계획서
    저는 공학미적분학, 기초전기전자회로실험, 전기회로, 전기기기, 전자기학, 신호및시스템, 전력전자, 전력공학 등의 수업을 들었습니다. ... 밀집된 다수의 결함에 의한 탐상 신호 왜곡에 관한 연구, 하이브리드 에너지 저장 기반 마이크로그리드 클러스터를 위한 적응형 모델 예측 제어 기반 통합 계층 제어 기법 연구, 시간적 ... 고정자 설계방법 그 설계방법에 의하여 설계 제작된 집중권선 동기 릴럭턴 스 전동기의 회전자 고정자 연구 등을 하고 싶습니다.저는 또한 열전 발전기의 전역 최대 전력 추적을 위한
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.09.07
  • 한양대학교 일반대학원 산업공학과 학업계획서
    급유 계획 연구, Graph Attention Networks를 통한 웨이퍼 에지에 대한 가상 계측 모델링 연구, 영상 인식과 생체신호를 이용한 무인기 조종사 상태 식별 알고리즘 ... 녹색 투자를 통한 지속 가능한 유연한 제조 시스템을 위한 제품 아웃소싱 정책 연구 등을 하고 싶습니다.저는 또한 프랙탈 제조 시스템의 동적 구조 조정 프로세스를 위한 알고리즘 연구, ... 연구, 진동 신호를 사용한 결함 감지를 위한 딥 러닝 기술 적용의 최근 발전: 체계적인 검토 연구 등을 하고 싶습니다.저는 또한 대체 연료 차량의 이동 시간을 최소화하기 위한 경로
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.09.07
  • 자동제어 과제 5
    DCS(Distributed Control System)- 명령을 내리는 시스템ㅇ로 DDC보다 상위에 있다.- 제어기능을 분산시켜 기기를 제어하는 방식.7. ... 시스템이 진동(oscillation)하면 시스템이 불안정하다고 말할 수 있다.- True16. ... - 단 2제어(2위치제어)에서 동작신호가 들어와도 제어동작이 일어나지 않는 동작신호의 특정범위18.
    리포트 | 3페이지 | 5,000원 | 등록일 2022.01.14
  • [건국대학교] 분자세포생물학 A+ Essential Cell Biology 5판 단원정리 Essential_Concepts_Chapter18
    Cdk는 사이클린 결합과 인산화 탈인산화에 의해 활성이 조절되고 활성화가 되면 세포에서 핵심적인 단백질을 인산화시킨다.5. ... 일부 세포 외부 신호단백질은 세포의 생존, 생장, 분열을 억제한다.19. ... 동물세포 수는 외부 신호가 세포의 생존, 성장, 증식을 제어함으로서 조절된다.15.
    리포트 | 3페이지 | 1,000원 | 등록일 2024.05.25
  • 결과보고서3-디지털통신2-Carrier Acquisition
    이것은 문제를 일으킬 수 있으므로 이를 보완할 방법이 필요하다.실험 장비 모듈TIMSTIMS는 통신 모델링 시스템이다. ... 회로로 비선형 피드백 시스템의 일종이다. ... 수학적인 방정식을 전기 신호로 표현해주거나 통신 시스템의 블록 다이어그램을 보여준다. TIMS는 실습 교육을 위해 만들어졌다.
    리포트 | 18페이지 | 2,000원 | 등록일 2021.09.23
  • 현대자동차 [R&D] 차량 제어 시스템 개발 / 2022년 하반기 최종 합격자 자기소개서
    기술해 주십시오. (1000자)[마이크로컴퓨터 구조, 응용]마이크로컴퓨터 교과목에서 C언어 기반의 임베디드 시스템을 학습하며 모터, 센서, 디스플레이에서 나오는 IO 신호를 통하여 ... 해당 공고 세부 수행직무를 희망하는 이유와 본인이 적합하다고 판단할 수 있는 이유 근거를 제시해 주십시오. (1000자)차량 제어 시스템 개발 직무 내에서, 알고리즘 기반으로 ... 시스템 교과목을 이수하며 얻은 HW에 대한 지식을 기반으로 3학년부터 전동기, 센서, 제어기를 학습하며 제어 시스템에 대한 이해도를 높였습니다.
    자기소개서 | 2페이지 | 4,000원 | 등록일 2023.05.01 | 수정일 2023.05.16
  • 도로교통공단 2020 상반기 교통직 자기소개서
    지원동기교통안전시설 신호체계에 대한 과학적이면서 합리적인 개선과 운영으로 도로효율의 극대화 사고예방에 이바지 할 수 있는 도로교통공단의 직원이 되기 위해 지원하게 되었습니다. ... 신호연동화 사업과 교통체계 분석 등을 통해 더 나은 교통시스템을 구축하고 경제적, 환경적 비용 절감과 사망률까지 줄일 수 있는 효과를 낼 수 있도록 최선을 다하겠습니다.2. ... 안전한 교통운영 개선, 교통단속장비 검사, 수시로 변하는 교통상황에 선제적으로 대응하는 교통신호시스템 관리·운영 등의 업무가 국민들이 신뢰를 가지고 안전하게 운전할 수 있다는 믿음을
    자기소개서 | 4페이지 | 3,000원 | 등록일 2022.08.04
  • 이동통신공학 보고서 10.Walsh code PN code
    IS-95A/B, C 동기식/비동기식 IMT-2000 시스템에서 사용함생성Hadamard MAtrix를 이용한 생성 방법(Walsh-Hadamard MAtrix)첫 열은 제외하고 ... Code -------------------------------- p.7의미 개요랜덤 노이즈와의 차이생성특징동기화응용Walsh Code의미 개요의미- 정규환 된 구간에서 ... Report-Walsh code, PN code-제출일 :학 번 :이 름 :목 차Walsh Code ------------------------------ p.3의미 개요생성특징응용PN
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 12주차 최종본, 오실로스코프와 파형발생기
    이 장비는 회로 또는 시스템의 동작 특성 정보를 전압 신호로 나타내어 눈으로 볼 수 있게 하지만, 보통 멀티미터는 이러한 기능이 없다. ... 그리고 획득시스템에 있는 아날로그 – 디지털 변환기에서 이산적인 점들로 신호를 샘플 한 후, 이 디지털 값들을 전압으로 변환 시키는 것 이다. ... 파형발생기는 기본적으로 출력 파형을 선택하는 기능과 출력 신호의 주파수 진폭을 조절하는 기능을 가지고 있다.1.4 실험-오실로스코프 사용(1) 오실로스코프의 채널 1(CH 1)을
    리포트 | 14페이지 | 1,000원 | 등록일 2022.06.08
  • 이동통신공학 보고서 7.QPSK,OQPSK,CDMA,OFDM,대역확산통신(FHSS,DSSS,THSS)
    nav=&m_temp1=4433&id=139" \o " 시스템(System) 이란? ... nav=&m_temp1=4433&id=139" \o " 시스템(System) 이란? ... 같음[그림1-1] QPSK 신호의 위상도QPSK 송신 시스템[그림1-2] QPSK 송신기Serial to Parallel- 입력되는 신호열을 홀수번째와 짝수번째를 나눔- 분리된 신호열은
    리포트 | 37페이지 | 2,000원 | 등록일 2021.09.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대