• 통큰쿠폰이벤트-통합
  • 통합검색(4,164)
  • 리포트(3,708)
  • 자기소개서(222)
  • 시험자료(142)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지탈논리회로" 검색결과 421-440 / 4,164건

  • 디지털공학실험 8장 논리회로의 간소화 (예비)
    ■ 관련이론조합 논리회로에서 출력은 단지 입력에 의해서만 결정된다. ... 이 표현식을 만족하는 논리회로는 바로 구현 될 수 있다( 이 회로구성은 복습문제에서 다루기로 한다). ... 해당 회로는 진리표에서 읽는 출력 함수에 대한 표현식을 간소화함으로써 구현될 수 있다. 조합 논리회로에 대한 강력한 맵핑(mapping) 기술은 M.
    리포트 | 15페이지 | 2,500원 | 등록일 2010.04.06
  • 디지털 논리회로 실험 텀 프로젝트 - 디지털 번호키
    디지털 논리회로 실험Term Project- 디지털 번호키 -디지털 번호키1. ... 설계 주제실생활에 사용되는 ‘디지털 번호 자물쇠’(이하 ‘디지털 번호키’)를 logic 회로를 통해 구현함.2. 설계 소개2-1. ... 첫 번째 그림은 디지털 번호키의 구성을 표현한 것이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.27 | 수정일 2019.04.12
  • 디지털논리회로실험 예비리포트 3. 부울대수 및 조합논리회로설계
    디지털논리회로 실험 자필 예비리포트3. 부울대수 및 조합논리회로설계다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • 디지털공학실험 8장 논리회로의 간소화 (결과)
    아마도 TTL논리레벨을 제대로 맞춰주지 못해서 에러가 나는 것 같은데 정확한 이유는 모르겠다.이번 실험에서 힘들었던 점은 분명히 내가 생각하기에는 제대로된 회로를 구성 하였다고 생각을 ... 작성 할수 있으면 된다. 1학기때에 디지털공학에서 가장 중요하게 배웠고, 시험에까지 나왔던 것이 카르노맵에 관한 것이었다. ... 보통 디지털 실험에서는 전압(V)를 측정하는 것이 아니면 오차는 없을 것 같다.결과 및 토론이번 실험에서는 무효 BCD-코드 감지기에 대한 진리표를 작성하고 또한 카르노맵을 이용하여
    리포트 | 10페이지 | 2,500원 | 등록일 2010.04.06
  • [디지털논리회로]Digital clock design
    결론한 학기동안 디지털 논리 회로를 배워나가면서 힘든 점도 많았지만 많은 것을 배웠고 보람도 있었습니다. ... 설계 포트폴리오학부(과)명전파공학과프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만(교수님 ... 서론- 설계 목적① Digital clock의 동작 원리를 이해한다.② CAD 프로그램의 사용법을 익힌다.③ 설계된 회로를 이용한 시뮬레이션 방법을 익힌다.④ project #2와
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • 디지털논리회로실험 예비리포트 8. 레지스터의 구성
    디지털논리회로 실험 자필 예비리포트8. 레지스터의 구성다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • 디지털 논리회로 실험- 연산논리장치(ALU)
    그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대한 특별한 알고리즘이나 순서를 사용하는 순차논리장치에 의해 통제된다. ... ■ 실 험 목 적(1) 상용 연산논리장치의 기능을 이해한다.(2) 상용화된 4비트 연산논리장치를 이용하여 두 수의 덧셈, 뺄셈 및 크기 비교를 실험함으로써 연산논리장치 동작응용의 다양성과 ... 논리장치 내에서는 16개의 논리연산중 하나가 수행될 수 있는데, 예를 들어 두 개의 연산자를 비교해서 서로 맞지 않는 비트가 어느 것인지를 찾아내는 것 등이 그것이다.☆ 산술논리연산장치
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.30
  • [디지털 논리 회로]VHDL 기초
    VHDL의 기초VHDL의 개념하드웨어 기술언어(HDL)의 일종직접 회로를 그려서 하드웨어를 설계하는 방식과는 방대로 HDL의 문법에 따라 합성하여 하드웨어의 동작을 기술VHDL의 사용 ... 목적하나의 칩에서 모든 기능을 수행할 수 있는 집적회로의 필요설계 변경과 구현이 용이설계 시간 단축과 비용절감 (설계 재사용)VHDL의 역사미국 국방성 내의 VHSIC(Very High
    리포트 | 1페이지 | 1,000원 | 등록일 2005.10.04
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    Digital Door Lock중간고사 대체 Project 과제 : Digital Door Lock 설계1. ... 프로그램 설명흔히 전자키로 쓰이는 digital door lock을 간단하게 설계해 보았다.
    리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    논리회로 설계 및 구현프로젝트 보고서디지털 시계 제작논리회로 설계 및 구현프로젝트 보고서프로젝트명 : 디지털 시계 제작을 통한논리회로 설계와 구현과 목논리회로교수님조 원제출일2010년 ... 18-2 참고회로229논리회로 디지털 시계 설계 및 구현0. ... 설계회로도1) 전체 회로도그림2 디지털 시계 블록도2) 회로 상세 분석a. 1Hz clock 발생회로기준 신호 클록 발생회로디지털시계의 정확도에 매우 큰 영향을 주게 된다.
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 논리회로 디지털 공학 프로젝트 프레젠테이션PT 파일
    오 . 감 . 만 . 족 . 대한민국 건강지킴이Index 01 Introduce 02 Specification 03 Design 04 Conclusion 0101 Introduction Project Specification 요구분석 전체 구성원이 모든 과정에 참여함을..
    리포트 | 26페이지 | 2,500원 | 등록일 2008.10.16
  • max plus 이용한 디지털 논리 회로 실습 8세그먼트
    디지털 논리회로학 과 : 정보통신공학학 번 : B반 073602이 름 : 주정식담당교수 : 이성주 교수님제 출 일 : 2009.5.191. ... 각 출력을 2레벨 NAND 게이트 회로를 사용하여 독립적으로 최소화하여 구현하라. 최소의 의미는 다음과 같다. 첫째, 게이트의 수가 최소인 것을 말한다. ... 다음의 모듈의 최소로 이용하는 2 레벨 NAND 게이트 회로를 구현하라.7400: 4개의 2입력 NAND 게이트7410: 3개의 3입력 NAND 게이트7420: 2개의 4입력 NAND
    리포트 | 21페이지 | 1,500원 | 등록일 2009.05.21
  • [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    회로의 작동순서(FSM)2. 설계 전체 회로3. 사용 세부 회로 ... Flip-flop 회로로 구성한 2진 counter나 n진 counter, ring counter, Johnson counter등이 있고, 10진수로 변환하여 표시한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • [논리회로] 디지털논리
    다음 진리표로부터 출력 F1과 F2의 논리식을 구하고 논리합성을 수행하라.X Y Z{ F}_{1 }{ F}_{2 }0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 ... (y+y') + xy(z+z') = x'z + xyF2: x'y'z' + x'y'z + xy'z' + xy'z' = x'y'(z+z') + xz'(y+y') = x'y + xz'※논리합성F1
    리포트 | 5페이지 | 1,000원 | 등록일 2002.10.06
  • 디지털논리회로실험 - 제 5장 기본연산회로
    디지털회로실험예비 보고서(제 5장 기본 연산회로)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 5장 기본 연산회로1. ... 논리 실험기? 오실로스코프 또는 디지털 멀티메터? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? 7486 (4조 2입력 XOR Gate)? ... A에서 b를 빼서 그의 차(D)와 자리빌림수(Bo)를 출력하는 논리 연산회로.
    리포트 | 12페이지 | 1,500원 | 등록일 2008.11.28
  • 고등학교> 디지털논리회로 논리게이트 지도안(갑종 지도안 5단계)
    본 교재는 불 대수 등 디지털 논리 기초 이론을 바탕으로 조합 논리 회로와 순서 논리 회로 등의 동작 원리를 습득하여 디지털 응용 회로의 설계, 제작 관련 실무에 활용할 수 있도록 ... 이에 따라 전자통신 분야에서의 '디지털 논리회로'는 필수 전공과목으로 디지털 논리에 관한 기본 이론과 이를 이용한 디지털 회로의 설계 등의 기술을 익힐 수 있도록 구성된 이론? ... 기타 연산은 기본 논리 게이트를 조합한 것이므로 게이트 구성과 진리표 결과를 간단하게 설명한다.?버퍼의 정의를 설명한다.?불 대수와 디지털 논리회로와의 관계를 이해한다.?
    리포트 | 43페이지 | 1,000원 | 등록일 2010.05.22 | 수정일 2019.10.17
  • 디지털 논리회로(verilog 언어 이용)의 뮤직박스 만들기, tool: Quartus , 사용장비 HBE-COMBOII
    리의 실습 목표에서는 하나의 ROM 안에 두 곡을 저장하여 이를 나누어 주어야 하는 것을 설계하여야 한다. 나는 이 음악에 할당되는 스위치를 클릭하였을 때 펄스(신호)를 발생시키어 하나의 클록을 생각하게끔 설계하였다. 만약 이를 고려 하지 않고 스위치를 눌렀을 때, 한..
    리포트 | 3,000원 | 등록일 2013.06.01
  • 디지털공학(논리회로) 맨체스터-NRZ부호변환기
    디지털공학 설계과제맨체스터→NRZ 코드로의 변환기 설계과 목 명 :이 름 :학 번 :교 수 :제 출 일 :1. ... 검증SimuAid로 교재 14장의 과 같은 타이밍도를 출력하기 위해 먼저 회로를 작성하였다. ... 설계맨체스터 회로는 한 비트를 표현할 때 처음 반 동안과 두 번째 반 동안의 출력이 상반되므로 만약 우리가 1 bit time을 clock period로 잡았다면 clock period를
    리포트 | 4페이지 | 2,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • 디지털논리회로실험 예비리포트 10. 동기식 카운터
    디지털논리회로 실험 자필 예비리포트10. 동기식 카운터다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • [디지털 논리회로 실험]레지스터 구성
    있는 회로, 즉 병렬로드 제어기능을 갖는 레지스터 회로를 설계해 보자.병렬로드 제어기능을 갖는 레지스터를 설계하기 위해 먼저 1비트, 즉 플립플롭 하나에 대한 회로만을 우선 고려해 ... 가장 간단한 형태의 자리이동 레지스터 회로와 타이밍도를 그림 11-4에 나타내었다. ... 그림 11-2에 SR 플립플롭을 사용할 경우에 대한 여기표와 이에 따른 논리식 및 회로도를 나타내었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2006.05.12
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대