• 통큰쿠폰이벤트-통합
  • 통합검색(3,183)
  • 리포트(2,824)
  • 시험자료(299)
  • 방송통신대(37)
  • 자기소개서(17)
  • 서식(3)
  • 논문(2)
  • 이력서(1)

"OR 연산" 검색결과 381-400 / 3,183건

  • VLSI 프로젝트 보고서
    논리 연산은 비트별로 AND, OR, XOR, NOT 등의 논리연산을 실행한다.마이크로프로세서는 집적 회로 기술로 비용이 저렴하고 고속으로 동작할 수 있다. ... ALU는 정수형 산술 논리 장치로 수학연산, 논리연산, 쉬프트 등의 수학적 연산을 담당한다. ... 첨부한 사진과 같이 S3 부호 자리에 연산 결과에 맞지 않는 부호가 오는 경우 overflow 가 발생합니다.
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
  • 아주대 전자회로실험 실험4 정궤환 회로 결과보고서
    실험 목적- 연산증폭기를 사용하여 정궤한 회로를 구성한 후, 동작을 확인해본다.2. 실험 결과 및 결과 분석- 실험 1. ... 고찰이번 실험은 연산증폭기를 사용하여 정궤환 회로인 슈미트 트리거 회로를 구성하고, 슈미트 트리거의 특성을 확인해 보는 실험이었다. ... , health and welfare of the public, and to disclose promptly factors that might endanger the public or
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.12
  • 아주대 전자회로실험 실험1 부궤환 회로 결과보고서
    비반전 연산증폭기회로도위의 회로도를 구성한 후, 파형 발생기에 입력전압V _{"in"(p-p)} =5V, 입력주파수f=1kHz를 인가하였고, 저항R _{F} =10k OMEGA 으로 ... 비반전 연산증폭기(이론-실험 오차율)R _{F} [k OMEGA ]R _{R} [k OMEGA ]V _{p-p} [V]A _{v} = {V _{out}} over {V _{"in"} ... , health and welfare of the public, and to disclose promptly factors that might endanger the public or
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.12
  • [연세대학교 간호대학 RN-BSN] 근거기반간호 모듈 2- A+ 받은 과제 입니다.
    조용애 외(2018)의 저서에서는 불리언 연선자(Boolean Operations)은 NOT, OR, AND와 같은 연산자를 사용하면 NOT은 해당 검색어를 포함하지 않으며, AND는 ... 그리고 OR는 둘 중 하나를 가진 문헌을 검색할 수 있다. ... 간호문제에 대한 검색어 선정선정된 검색어와 데이터베이스를 통해서 검색을 시행하는데, 이때 불리언 연산자, 절단검색, 구 검색, 검색 필터 적용 등의 검색기법을 사용하면 더욱 효과적으로
    리포트 | 8페이지 | 3,000원 | 등록일 2020.04.07
  • 경상대, 경상국립대, 경영정보시스템 족보, 기말고사, 중간고사, 경영학과
    대용량 연산 수행 가능? ... Life-cycle Support (1993)· Commerce At Light Speed (1994)· 유형거래주체 별- 기업 Business : B- 소비자 Consumer (or ... 정보통신 분야에서는 계을 동시에 이용- 통신망으로 연결된 서로 다른 기종의(heterogeneous) 컴퓨터를 연결하여 가상의(virtual) 대용량 컴퓨터를 구성- 고도의 연산 혹은
    리포트 | 13페이지 | 2,500원 | 등록일 2022.09.02
  • 경희대 소웨사 기말 정리본 (소프트웨어적사유)
    =100, total=sum+diff  변수가 갖고 있는 값은 연산에 사용 가능 ... 변수에 값 저장- 변수 : 프로그래머가 생성할 수 있는 식별자(identifier)의 일종  변수명 = 저장할 값 or 변수명 ex. a=53, word=“school”, cost
    시험자료 | 10페이지 | 3,000원 | 등록일 2024.03.15 | 수정일 2024.04.01
  • 아주대학교 전자회로실험/전회실/ 실험1 부궤환회로 결과보고서
    실험 목적본 실험에서는 부궤환 연산증폭기를 다룬다. 기본적으로 연산증폭기는 이득을 통해 출력을 증폭시키므로 광범위하게 사용된다. ... 15V (Max)Input voltage, VI(any input)-15V (Min)15V (Max)Voltage between offset null (either OFFSET N1 or ... 이러한 연산증폭기에 대한 이해를 기반으로 본 실험은 부궤환 회로를 구성하여 실제 작동을 확인한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.08.16
  • 실험4. 인코더와 디코더 예비보고서
    이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다.2.2 인코더인코더는 디코더와 반대되는 동작을 하는 디지털 기능이다. ... 인코더는 각각의 각 출력 변수에서 하나씩, 총 n개의 OR 게이트들로 구현될 수 있다. 여기에서 정의된 인코더는 주어진 시간에서 1개의 입력만 1이 될 수 있다는 제한이 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 정보검색론_A+<오픈엑세스의 특징 및 색인비교> 문헌정보 문정과 전필
    중 AND와 OR을 적용한다.국가전자도서관의 통합검색은 국가전자도서관과 기관웹사이트로 나뉜다. ... AND는 스크롤바를 내리면 AND, OR, NOT가 나타난다.‘5G’를 검색하였고, 결과 내 재검색과 주제분류와 발행연도와 자료유형과 국내외 등재정보로 상세분류 되어있다. ... -색인 검색 : 국가전자도서관의 통합검색은 전체와 서명과 저자와 발행처와 ISBN/ISSN으로 구분되고, 전체를 4가지를 모두 포함하는 설정이다.국립중앙도서관와 같이 불리언 연산
    리포트 | 16페이지 | 3,000원 | 등록일 2024.01.23
  • [건국대학교 논리회로 A+][2024 Ver] 15주차
    IR 레지스터에 이동하였고, PC는 4이다.(2) WE_RF와 OE_ALU를 켜고 클록을 주니 R0 레지스터에 저장된 값(0x76)과 R1 레지스터에 저장된 값 (0x77)의 and연산 ... 힘들었었다.하지만 직접 왜 그런지 고찰해보고, 자료들을 찾아보고, 회로에서 다양한 값들을 줘보면서 작동 원리를 어느 정도 이해할 수 있었다.이러한 복잡한 회로들이 결국에는 AND, OR
    리포트 | 14페이지 | 5,000원 | 등록일 2024.08.14
  • 컴퓨터구조(전자계산시 논리회로에서 조합논리회로와 순서논리회로의 차이점)
    조합 논리회로, 순서 논리회로논리회로(logic gate)란 대수를 물리적 장치로 구현한 것으로, 여러 개의 논리적 입력값에 대하여 연산을 수행함으로써 하나의 출력값을 얻는 전자회로를 ... 조합의 입력, 각 입력 조합에 대하여 하나의 출력 조합, m개의 부울함수가 표시될 수 있고, 각 출력 함수는 n개의 입력 변수의 항으로 표시한다.1) 플립플롭플립플롭은 1비트(0or1
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.13
  • (A+/예상결과/고찰) 아주대 기초전기실험 예비보고서 AC 8
    기구- DMM (Digital Multimeter)- Oscilloscope- Audio oscillator or function generator- Frequency counter ... 라플라스 변환은 미분 방정식을 대수적으로 해결하는 매우 유용한 방법(연산자 미분적분학: operator calculus)이다. ... 이것을 회로에 도입하여 전압으로 표현해주면 아래와 같다.즉, 미분식의 연산을 쉽게 해주기 위해 페이저의 개념을 도입하는 것이다.1.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.23
  • SQL 인젝션 (SQL Injection)공격 기술문서
    SQL 쿼리문의 TRUE / FALSE의 논리적 연산 오류를 이용하여 로그인 인증 쿼리문이 무조건 TRUE의 결과값이 나오게 하여 인증을 무력화시키는 원리이다.위의 그림과 같이 인증을 ... WHERE user_id = '1'or'1'='1'; 에서 유저의 아이디는 1 혹은 1=1 즉 참이 된다. ... 왜냐하면 우리가 입력한 값으로 인해 SQL sers WHERE user_id = '1'or'1'='1';우리는 다음과 같은 구문을 전달한 것이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2022.04.07
  • 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    측정 결과를 이용해 Truth Table을 그한 후 A와 C’의 NOR 연산이 F1이 된다.F1에 대한 truth table을 그려보고 F0와 비교해보면ABCF0F00******** ... 따라서 NOR의 기능을 한다고 볼 수 있는데, 이는 negative-logic으로 OR이고 단자의 연결만으로 logic을 구현했기에 wired-OR connection이라 한다.이 ... OR connections보통 두 개 이상의 출력 단자를 연결하게 되면, 하나는 high 하나는 low일 때 과전류가 흘러 내부 트랜지스터가 망가질 것이다.
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 디지털공학 중간고사 족보
    16진수 68BE.F2를 2진수로 바꾸고 구한 2진수를 8진수로 바꾸어라.다음 함수를 최소항의 합과 최대항의 곱으로 나타내어라.F(A,B,C,D)=B'D+A'D+BD다음 연산을 하여라 ... ) OR-AND3) NAND-NAND4) NOR-NOR5) NAND-AND6) AND-NOR7) OR-NAND8) NOR-OR전가산기에 대하여1) 진리표를 보여라.2) 두 개의 반가산기와 ... (3,5,7)다음 부울함수의 논리도를 주어진 게이트를 사용하여 2단 설계를 하여라.F(A,B,C,D)= sum _{} ^{} (0,2,4,8,9,10,11,12,14)1) AND-OR2
    시험자료 | 2페이지 | 3,000원 | 등록일 2020.12.29 | 수정일 2021.03.29
  • 전가산기에 대한 덧셈의 원리
    전가산기에 의한 덧셈의 원리전가산기란 무엇인가전가산기란 가산기의 한 종류로 덧셈을 구현하는 연산장치이다. ... 마지막으로 eq \o\ac(○,5)에서 0 OR 1은 1로 자리 올림 수() 1이 발생한다.그림 SEQ 그림 \* ARABIC 2그림 2의 전가산기에서 eq \o\ac(○,1)은 A ... 마지막으로 eq \o\ac(○,5)에서 1 OR 0은 1로 자리 올림 수() 1이 발생한다.그림 SEQ 그림 \* ARABIC 3그림 3의 전가산기에서 eq \o\ac(○,1)은 A
    리포트 | 6페이지 | 2,500원 | 등록일 2020.12.22
  • 상명대 발명과 창업 중간고사(2022-2)
    디지털 AND 시계 AND (태양광 OR 태양열))④ NOT 연산자•특정 키워드를 제외하고 싶을 때 사용⑤ 인접연산자, 구문연산자, ADJ, NEAR, ""•2이상의 단어가 순서대로 ... 동일문장 내에서 a와 b가 순서대로 위치: a ADJ b2) KIPRIS 검색구분연산자사용 예AND*레이저*포인터OR+핸드폰 + 휴대폰NOT!레이저*포인터! ... 휴대폰에서 쓰는 폴딩 디스플레이를 찾고자 함 → 휴대폰 폴딩 디스플레이: 휴대전화 폴딩 디스플레이, 핸드폰 폴딩 디스플레이, 셀룰러 폰 폴딩 디스플레이 …→ 이러한 유사 키워드는 'or'로
    시험자료 | 79페이지 | 5,000원 | 등록일 2022.12.30
  • 전회실험3 예보
    실제로는 연산 증폭기의 포화를 방지하기 위하여 커패시터 양단에 저항을 하나 추가한다(그림에는 표시되어 있지 않다). ... national origin;9. to avoid injuring others, their property, reputation, or employment by false or malicious ... , health and welfare of the public, and to disclose promptly factors that might endanger the public or
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.26
  • 실험5. 7세그먼트 디코더 예비보고서
    실험방법 및 순서5.1 실험 예비 보고 3.3에서 설계한 4진수/2진수 우선순위 인코더를 AND, OR, NOT 게이트를 사용하여 구성하라. ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다.2.2 디코더디지털 컴퓨터에서 정보의 이산 적인 양은 2진 코드들로 나타내어진다
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 디지털 논리와 컴퓨터설계 제5판 7~12장 연습문제
    위한 논리다이어그램을 그려라.S1S0Cin=0Cin=100F=A+B(add)F=A+B+101F=A(transfer)F=A+1(increment)10F=bar{B(complement or ... 회로의 논리다이어그램8.4 2개의 선택변수 S1과 S0을 가진 4비트 산술연산 회로가 다음 표와 같은 산술연산을 수행한다. ... 회로는 올림(carry) Cin과 관련하여 다음의 8가지 산술 연산을 수행한다.
    시험자료 | 29페이지 | 2,000원 | 등록일 2022.01.05
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감