• 통큰쿠폰이벤트-통합
  • 통합검색(1,311)
  • 리포트(1,193)
  • 자기소개서(65)
  • 방송통신대(27)
  • 시험자료(18)
  • 논문(7)
  • 표지/속지(1)

"디지털시계 회로" 검색결과 381-400 / 1,311건

  • 논리게이트를 이용한 플립플롭 구성 실험
    마이크로프로세서와 같은 디지털 로직을 사용하는 많은 전자회로에 사용한다. 시계의 카운터 회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.(5) 실험과정 :1. ... 디지털 시스템 설계에서의 회로를 구성할 때, 조합논리와 결합하여 순차회로의 기능을 구현하는 중요한 요소이다. ... (1) 실험제목 : 논리게이트를 이용한 플립플롭 구성 실험(2) 실험목적 : 플립플롭의 구현을 통해 디지털 논리회로의 구 성 원리를 체험하게 하고 앞으로 배울 마이크로 컨트롤 러 및
    리포트 | 4페이지 | 1,000원 | 등록일 2017.07.15
  • Swatch의 탄생과 성공. 스위스 시계 산업의 가치혁명.
    시장 진출 1970 년대 중반의 집적회로 시장이 매우 매력적 부품 공급업체로 시작했다 시계 제조 공장에 투자 공격적인 가격으로 시계 출시 1978 년 IT 디지털 시계 매출액이 1 ... 년대 후반 LCD 가 디지털 분야 석권 1984 년 전 세계 판매량의 75% 이상이 쿼츠 시계 기술 바탕 쿼츠 시계는 직접회로 사용 , 1 초의 오차 X, 제조 비용 감소 스위스 시계산업의 ... 선두에 이름 1974 년 $39.95 의 디지털 신모델로 세계 시장 진출 1980 년 일본 디지털 시계 시장의 10% 확보 $50 이하의 시계 시장에서 2 위 차지 Hattori Casio스위스
    리포트 | 24페이지 | 2,500원 | 등록일 2017.06.23
  • 오실로스코프-일반물리학실험 예비 레포트
    로직회로 검사시 신호출력단자이다.본 실험에서는 사용하지 않는다.⑪ OUT PUT : ② FUNCTION에서 선택한 발생파형의 출력단자이다. ... 사용하므로 본 실험에서는 본격적으로 사용하지 않는다.⑨ COUNT IN : 외부의 카운트 신호를 받아들일 때의 입력단자로서 본 실험에서는 사용 하지 않는다.⑩ SYNC OUT : 디지탈 ... 다이얼을 잡아 당겨 서 시계방향(+전압 인가), 반시계방향(-전압 인가)으로 조절하면 출력파형의 전체 전압이 증감 한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.03.07
  • 광운대학교 전기공학과 1학년 실험4
    직류전원장치 출력전압 조절단자를 반시계방향으로 끝까지 돌려놓고 스위치를 OFF 상태로 둔다. ... 따라서 전류계에서와 마찬가지로 접속시에 측정대상의 전압의 극성에 유의하여야한다.디지털 방식의 직류전압계나 전류계 역시 극성 표시가 되어 있다. ... 따라서 측정목적만으로 접속된 이들 기기가 원래의 회로 동작에 영향을 주지 않도록 하여야 한다. 전류계는 회로에 직렬로 연결되므로 회로 안에 흐르는 전류를 방해해서는 안된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.30
  • 스와치 마케팅전략 - 가치 혁신(Value innovation)
    표시방식 LCD 쿼츠 시계 출시 1970 년대 후반 LCD 가 디지털 분야 석권 1984 년 전 세계 판매량의 75% 이상이 쿼츠 시계 기술 바탕 쿼츠 시계는 직접회로 사용 , 1 ... 시장 진출 1970 년대 중반 집적회로 시장이 매우 매력적 부품 공급업체로 시작했다 시계 제조 공장에 투자 공격적인 가격으로 시계 출시 1978 년 IT 디지털 시계 매출액이 1 ... 위기(1970-1990) 디지털 시대의 도래 발광 다이오드와 LCD 시계 출현 Seiko 최초로 LED 기술 입힌 쿼츠 시계 개발 , 상업화 성공 1973 년 세계 최초의 6 자리
    리포트 | 29페이지 | 2,000원 | 등록일 2017.09.27
  • 디지털설계 실험보고서
    전압계(DVM ; digital voltmeter) 중에는 전압 측정 외에 전류나 저항을 측정할 수 있는 것이 있는데, 그와 같은 기능을 가진 디지털 전압계를 디지털 멀티미터 또는 ... DMM이라는 약칭으로 부르고 있다[네이버 지식백과] 디지털 멀티미터 [digital multimeter] (자동차 용어사전, 2012.5.25, 일진사)규격측정 전압 : 200mV ... LED 점등정전류 표시 방법 : 적색 LED 점등입력 전원 전압 : 교류 110/220 [V]사용시유의사항전원 스위치를 켜기 전에 출력 전압 및 출력 전류 최소 (③ ⑫ ④ ⑬를 반시계
    리포트 | 4페이지 | 2,000원 | 등록일 2017.05.14 | 수정일 2022.01.26
  • 저항기 색코드와 저항값 측정 결과레포트
    색코드 표를 이용하여 저항기의 저항값을 알아내고, 디지털 멀티미터(DMM)를 이용하여 저항값을 측정한다. ... 이미지 출처 : 네이버 전자용어사전5 저항기 전기 저항을 갖게 한 전기 회로의 부품 이미지 출처 : 대교학습백과6 고정저항기 그 값이 정해진 저항으로, 다양의 크기의 저항을 선택할 ... 분압기의 축을 돌릴 때 완전히 시계방향으로 못 돌리거나 반시계방향으로 못 돌린것 ⅱ.
    리포트 | 16페이지 | 1,500원 | 등록일 2019.05.21
  • 실험2 2주차 결과보고서 (전압분배기, 전류계의 분류기, 중첩의원리)
    그런데 b-c 사이에 단자를 접속한 후 저항의 크기를 측정하니 이번엔 반 시계 방향에서 1.065k옴, 시계 방향에서 3.090옴이 측정되었다. ... 이를 통해 가변 저항기를 시계 방향으로 돌릴 수록 저항의 크기가 커진다는 것을 알 수 있었다. ... 장비 매뉴얼을 통해 멀티미터의 등가저항을 알아보고 실험에 필요한 shunt resistor 계산해 보기처음 실험을 진행할 때는 생소한 개념으로 인해 실험을 진행하기 어려웠고, 디지털
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.11
  • 플립플롭 예비보고서
    시계의 카운터회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.조합 회로를 단순하게 조합 논리 기능을 실현하는 회로가 아니고, 지연된 하나의 출력을 피드백하여 입력에 넣으므로써 정보를 ... 마이크로프로세서와 같은 디지털 로직을 사용하는 많은 전자회로에 사용한다. ... 따라서 클럭 펄스가 들어올 때마다 출력이 바뀌게 된다.(9) 플립플롭들의 응용에 관하여 설명하라.디지털 시스템 설계에서의 회로를 구성할 때, 조합논리와 결합하여 순차회로의 기능을 구현하는
    리포트 | 10페이지 | 1,000원 | 등록일 2018.03.18
  • Altera Maxplus를 이용한 디지털시계 구현
    디지털 논리회로 설계시간에Altera Maxplus를 이용하여 디지털 시계를 구현Maxplus 디지털시계 파일, 결과보고서 자료 포함1. ... 설계 목적 - MaxPlus 프로그램을 이용한 디지털 시계 설계2. ... - 시는 12진 카운터를 이용하여 12시간이 표현 가능하도록 설계, 이 때 시는 분의 상위에서 캐리가 발생할 때마다 동작 - 시뮬레이션을 통한 시계 동작상태 확인
    리포트 | 11페이지 | 3,000원 | 등록일 2010.10.03
  • 5. 물리 실험 예비 보고서(저항의 색코드)
    먼저 회로의 도는 방향(시계방향 또는 반시계방향)을 정하고 그 방향으로 돌아가는 기전력 E와 전압강하 IR의 부호를 정한다. ... 실험목적저항의 색 코드와 디지털멀티미터의 사용법을 익히고, 키르히호프의 법칙과 전기저항의 직렬 및 병렬 연결의 특성을 확인한다.2. ... 임의의 닫힌 회로(폐회로)에서 회로 내의 모든 전위차의 합은 0이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.31
  • [발표자료] 디지털시계 설계
    디지털 시계 제작- 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 7. ... 설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 시스템 개요 24 시간의 시간을 나타내는 시계를 구성 D F/F 으로 구성된 동기식 카운터를 이용 시 , 분 , 초를 나타내는 각 7- 세그먼트를 두 개씩 이용하여 십의 자리수와 일의
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.06
  • 디지털논리시계-레포트
    디지털 시계 상세 설계 10. ... 회로내 다양 기능추가 5. Orcad 예비시험 Analyze 6. 디지털 시계의 정확한 시간과 다양한 기능확인 7. 제품에 미치는 요인 우선순위화 Design 8. ... -Define- SIPOC Orcad 를사용 회로점검 회로도설 계 NG OK 다른조 다양한기 능 회로의단순 화 플리플 롭 회로의 타이밍 딜레이 정확한시 간 Battery 효율 성 교수님
    리포트 | 16페이지 | 1,000원 | 등록일 2010.06.05
  • 디지털 시계
    디지털 시계 기본원리J-K 플립플롭으로 구성된 동기식카운터를 이용하여 24시간의 시간을 나타내는 시계를 구성한다. ... 전체 JK F/F에 동일한 클럭을 주어 동기식카운터로 구현하되 다른 F/F의 출력값을 이용하여 시간표현에 알맞은 변화조건이 되도록 시계회로를 구성한다① 초의 자리 구성● 7476 ... 보 고 서(디지털 시계 제작)과 목 명디지털 시스템 설계담당교수류 욱 재 교수님제출일자2005년 6월 21일제출자소속컴퓨터 공학과(2분반3조)이름학번서효범20011883이홍재20011871육동혁20011887최재원200119661
    리포트 | 6페이지 | 1,000원 | 등록일 2009.09.16
  • 24진 디지털시계
    디지털시계 (24진)조원 : 심상철 권두안 이원주목차.1. 회로의 전체적 구성 및 흐름 * 전체 회로도 * 카운터의 설계 2. ... 제작 진행에서의 취약점 및 보안할 점제작 목적PLD를 이용한 디지털 시계를 제작한다. 카운터에 대한 전반적인 지식을 이해한다. 논리회로의 동작과 부울함수를 이해한다. ... 회로 구성 능력을 향상시킨다.
    리포트 | 14페이지 | 5,000원 | 등록일 2006.11.17
  • 2015년도 중앙대학교 전자전기공학부 2학년 2학기 전기회로설계실습 예비보고서 7장 RC회로의 시정수 측정회로 및 방법설계
    : digital 또는 초침이 있는 analog 시계3. ... (스위치를 사용하는 것이 바람직하며 한번만 측정하지 말고 여러 번 여러 시간에 대해 측정하여 평균을 내도록 한다.)시계를 이용하여 시정수를 측정하는 회로1. ... 시계를 이용하여 충전시간을 측정하거나 방전시간을 측정하는 방법을 설계하여 제출하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 중앙대 [전기회로 설계 및 실습] 07.RC회로의 시정수 측정회로 및 방법 설계 (예비 & 결과)
    : digital 또는 초침이 없는 analog시계3. ... 목적: 주어진 시정수를 갖는 RC회로를 설계하고 이를 측정하는 방법을 설계한다.2. ... disc 1개 switch: SPST(single pole single throw) 2개 또는 SPDT(single pole double throw) 2개 시계
    리포트 | 5페이지 | 2,000원 | 등록일 2016.12.21
  • 가천대학교 전자공학과 기초회로실험 저항측정 예비 결과레포트
    -회로에 연결된 경우 따로 떼어낸 다음 측정한다. ... 손잡이를 1/3바퀴 정도 시계방향으로 돌린 다음 위 의 내용을 되풀이하여라.6. ... 손잡이를 다시 처음 위치로 놓고 여기에서 2/3바퀴 시계방향으로 돌린 다음 의 내용을 되풀이하여라.5.
    리포트 | 8페이지 | 1,000원 | 등록일 2018.06.24
  • 일반물리학실험 오실로스코프 레포트
    로직회로 검사시 신호출력단자로서 본 실험에서는 사용하지 않는다.⑩ OUT PUT : ② FUNCTION에서 선택한 발생파형의 출력단자로서 본 실험에서 사용한다. ... 최근에는 액정화면을 이용한 디지털 형태의 오실로스코프가 출시되고 있는데 출력파형을 다양한 색상으로 표현할 수 있다.본 실험에서는 아날로그 오실로스코프를 사용한다. ... 정수비의 리사쥬도형을 깔끔하게 출력할 수 없을 때 편법이지만⑧ COUNT IN : 외부의 카운트 신호를 받아들일 때의 입력단자로서 본 실험에서는 사용하지 않는다.⑨ SYNC OUT : 디지털
    리포트 | 9페이지 | 1,000원 | 등록일 2018.09.30
  • [mahobife]수학/연구계획서 시리즈2탄 : 서울대 대학원 합격 수학/연구계획서입니다.
    하나 하나로만 보면 단순해 보이는 여러 전자 소자들을 잘 연결했다고 해서 그것이 라디오가 되고 디지털 시계가 되고 하는 모습을 보며 비록 제가 이런 회로 관련 전공은 아니지만 이 분야도 ... 그리고 그것들의 실험과목인 전자회로개론실험과 디지털회로개론실험도 관심 있는 과목입니다. ... 빛에 대한 물리학은 실험이나 기타 여러 일상생활에서 확인할 수 있는 경우가 많고 알고 있으면 도움이 되는 경우도 많다보니 더욱 흥미를 갖게 되었습니다.전자회로개론과 디지털회로개론,
    자기소개서 | 2페이지 | 5,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대