• 통큰쿠폰이벤트-통합
  • 통합검색(170)
  • 리포트(123)
  • 자기소개서(41)
  • 논문(2)
  • 서식(2)
  • 방송통신대(1)
  • 이력서(1)

"FPGA를 이용한 디지털시스템설계" 검색결과 21-40 / 170건

  • 논리회로설계 실험 기본게이트 설계
    또한 신속한 처리가 쉽다는 점을 이용하여 3상 다이오드정류기의 고조파 저감을 위한 컨트롤러에 사용되며, 2상 스테핑 모터 드라이버의 성능개선에도 사용된다.2) FPGA의 사용 실례디지털 ... 하나의 시스템설계하기 위해서 필요한 컴포넌트들과 이들의 인터페이스를 정의할 수 있으며 이미 설계된 컴포넌트를 불러서 사용할 수 있도록 한다.3. ... 논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 시립대 전전설2 A+ 2주차 예비레포트
    )본 실험에서 사용하는 Xilinx 사의 FPGA인 Spartan-7 XC7S75는 수 만개의 로직 셀을 포함4) HDL(Hardware Description Language)디지털시스템의 ... 배경이론 및 개념1) ASIC(Application Specific IC)복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고, 고집적 ASIC으로만 가능ASIC: ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 디지털시스템실험 2주차 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 ... 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.기본지식1. ... ModelSim 소프트웨어를 이용한 시뮬레이션1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    용도 및 기능을 파악하고 설계Digital IC를 검증하는 방법을 익힌다.3. ... Verilog 언어를 이용한 Sequential Logic 설계예비레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • BCD code, 세븐 세그먼트에 대한 이론 및 회로
    설계와 합성의 길잡이(sam ir Palnitkar 저)(3) Verilog HDL을 이용한 디지털 시스템 설계 및 실습(신경욱 저) ... 참고 문헌(1) verilog를 이용한 디지털 시스템 설계(Charle Roth, Lizy Kurian John, Byeong Kil LEE 저)(2) Verilog HDL 디지털 ... 실험 장비 및 부품Digilent Nexys4 FPGA BoardVivado Design Suite 2014.43.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템설계할 수 있다.ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다 ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 충북대 디지털시스템설계 결과보고서5
    디지털시스템설계 실습 결과보고서학번이름1. 실험 제목LED Controller DesignFPGA 7-Segment 구동 Design2. ... 실험목표(1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다.(2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다 ... 다음 always문에서는 7-segment의 표시를 위한 counter를 설계하고 여기서 cnt64k는 64kHz Clock을 이용한 자리 시간 간격이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 2022년 상반기 LG디스플레이 반도체/디스플레이 합격자소서
    Arduino를 이용해 하드웨어 제어, 회로 설계"외부교육"1 IDEC 디지털 회로 교육 2회 이수- FPGA 기반의 임베디드 시스템 설계 / 디지털 집적회로 설계2 IDEC 전력전자 ... 학교수강교과목"1 프로그래밍- 자료구조 A+, 고급프로그래밍 A-, 알고리즘 A-, 운영체제 A+2 HDL, FPGA 설계- 디지털공학실험 B+, 디지털시스템설계 A-, IoT실험 ... 이용해 두더지가 랜덤으로 나올 수 있는 디지털 회로 구현.4 Verilog를 이용한 8bit RCA- Pipeline RCA와 Non-Pipeline RCA를 각각 구현하고 Area
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.08
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    일반적으로 Xilinx ISE를 이용FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 디지털 ... 실험 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인을 하기에 앞서 Schematic 설계를 수행한다. ... ▲spartan-3 FPGA 요약위 표에서 XC3S200을 살펴보면 System Gates의 수가 200,000이고 Logic Cells(논리소자/셀 개수)의 수는 4320이다.본
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this LabLab-03에서 Verilog HDL 언어를 이용하여 디지털 회로를 디자인하기에 앞서 Schematic 설계를 수행 해 보는 것이다.나. ... CPLD 소자- FPGA(Field Programmable Gate Array) Hyperlink \l "주석5" [5]FPGA설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 ... FPGA의 경우에 휘발성을 가지고 있어 시스템 시작과 동시에 작동이 불가능 한 것에 비해, CPLD는 즉시 작동이 가능하다.두 번째로 CPLD의 Routing(경로 설정)이 외부 연결을
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • [광전자공학] 양자컴퓨터(Quantum computing)의 기술동향과 개선방향
    [광전자공학] 설계프로젝트 최종발표 Quantum Computing주제 선정 배경 및 기술동향 분석 Quantum Computing, 양자컴퓨터는 기존의 디지털 컴퓨터와는 다른 동작 ... 극한의 저온환경 및 큐비트 제어제안된 아이디어 구현 및 사업화 방안 제시 사업화 방안 제시 레이더 테스트 에뮬레러리에서 조립된 구성 가능한 FPGA 샌드박스 를 이용한다 . ... 극한의 저온환경 및 큐비트 제어 원자형 냉각 기술 ( 레이저 냉각 , 전자 냉각 , 강제 굴절 냉각 등 ) 을 활용하여 양자 비트를 안정화하고 냉각하는 시스템설계한다 .
    리포트 | 15페이지 | 2,500원 | 등록일 2023.11.10
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    PAL은 일반적으로 수백개의 논리 게이트를 구현하는 반면 FPGA는 수만에서 수백만에 이른다.두 번째로 macro cell간에 복잡한 피드백 [7] Spartan-3 FPGA의 성능System ... FPGA의 경우에 휘발성을 가지고 있어 시스템 시작과 동시에 작동이 불가능 한 것에 비해, CPLD는 즉시 작동이 가능하다.두 번째로 CPLD의 Routing(경로 설정)이 외부 연결을 ... 이때, logic cell은 4-input LUT(Look Up Table)를 이용해 만들 수 있는 로직의 수라고 한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • LG전자 VS본부 HW설계 합격 자기소개서
    A/D, D/A 컨버터에서 SINAD, SFDR, ENOB의 중요성와 DEM, SSPAC와 같은 Calibration 기법을 통해 오차를 줄이는 방법에 대해 배웠습니다.디지털시스템설계 ... 4.5 / 4.5디지털 집적회로에 대한 design, 성능 예측 방법, Verilog를 이용한 디지털 회로설계 및 simulation을 진행하여 간단한 집적회로 layout까지 학습하였습니다 ... 또한 RVX Tool을 이용하여 합성과정을 거친 후 FPGA에 Application하여 성능을 검증하였습니다.
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 설계하고, 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. ... 실험 방법[실습 1]: Two-input AND 게이트의 설계를 bit operators (비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... 설계해 보았는데 FPGA로 할때는 초기값을 굳이 설정할 필요가 없지만 모델심을 이용할 경우 초기값을 clear 해줄 필요가 있다는 것을 배웠다. ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 컴퓨터의이해
    FPGA(Field-Programmable Gate Array)사용자가 프로그래밍 할 수 있는 반도체 칩이다. ... 이러한 변화는 우리의 일상생활, 경제, 사회 구조, 문화 등 여러 가지 측면에절하는 시스템이 도입되고 있다.(3) 산업의 디지털화와 자동화컴퓨터와 통신 기술의 발전은 산업에서도 큰 ... 기존의 CPU나 GPU와는 달리, 사용자가 필요한 기능을 직접 설계하고 구현할 수 있다.
    방송통신대 | 12페이지 | 5,000원 | 등록일 2024.04.02
  • Design Flow of a Digital IC 요약
    설계를 효율적으로 하는 것은 현재 유통되는 전자기기 설계에서 가장 중요한 부분 중 하나이다.Design abstraction levels of digital IC design- 디지털 ... flow of digiral IC design / 디지털 집적회로의 설계 흐름* Associated issues and emerging trends during the digital ... IC design process / 디지털 집적회로 설계 과정과 관련된 문제 및 최신 동향The role of digital IC/SoC design in CE products-
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 2023상반기 현대자동차 R&D 합격 자소서
    시스템 설계 A+ : VHDL을 이용디지털 시계 entity와 내부 아키텍처 개발을 한 학기 간 수행했습니다. ... 발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 이후 제어시스템 설계, 머신러닝 과목을 이해하는 기반을 다졌습니다.5) 논리회로 A+ : 플리플랍, 카운터 등을 설계하며 논리소자에 대한 기초를 학습했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 디지털시스템설계 7-segments 실습보고서
    디지털시스템설계 실습 결과보고서학번이름1. 실험 제목FPGA 7-segments 구동 Design2. ... 실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... - Up Coming Display(0~9999) 설계3.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대