• 통큰쿠폰이벤트-통합
  • 통합검색(2,331)
  • 리포트(2,230)
  • 시험자료(63)
  • 자기소개서(23)
  • 논문(15)

"신호검출이론" 검색결과 21-40 / 2,331건

  • 아날로그및디지털회로설계실습 7주차 위상제어루프
    실험결과1-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해 서술한다.전압제어 발진기의 출력 신호와 기준 신호가 위상 검출기를 ... 발진기의 출력 주파수와 기준 신호의 주파수가 다를 경우 두 신호의 위상차에 의해 위상 검출기의 출력 파형은 계속 변하게 되고 이에 따라 출력 전압도 계속 변하게 된다. ... 서론위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.12.15
  • 부산대학교 응용전기전자실험2(2. 서보제어 예비보고서)
    그리고 서보모터, 서보앰프 및 모터에직결되는 검출기를 일체로 하는 경우는 서보 드라이브라고 불린다. 위 그림에서 보면 검출기로부터 신호가 지령 측으로 되돌아간다. ... 관련 이론1) 서보제어란?서보는 정확하게 서보기구 또는 서보 메커니즘이라고 하며, 자동제어의 한 분야를말한다. ... 이는 검출기에서검출한 현재값을 지령 측으로 되돌려 지령치와 현재치를 비교하는 것을 의미한다. 지령치와 현재치의 차이를 편차라고 한다
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.23
  • PLL 결과보고서
    맞게 PLL이 입력신호와 출력신호검출하여 VCO에 추가입력 시켜 입력과 출력의 주파수가 동일해지는 것을 확인했습니다. ... 그리고 단순한 원리로 입력신호의 모든 주파수를 받아 위상오차를 검출해 작동하는 것이 아닌 Capture Range와 Lock Range를 측정해보면서 실질 작동범위를 확인 할 수 있었습니다 ... 이론에 맞게 Lock Range가 Capture range 보다 큰 Range를 가진 것을 확인했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.05.01
  • 아날로그 및 디지털회로설계실습 6주차 위상 제어 루프(PLL) 예비 리포트
    위상 검출기에서 검출신호를 직류에 가까운 전압으로 변화시킨다.가변 발진기는 제어신호의 크기에 따라 출력되는 주파수가 변화는 발진회로이다.전압제어 발진기의 출력과 기준 신호가 위상 ... 발진기의 출력과 기준 신호의 주파수가 다르다면 두신호의 위상이 다르게 되므로 위상 검출기의 출력 파형은 계속 변하게 되고 이에 따라서 출력 전압도 천천히 변하게 된다. ... 이번 실험에서는 그런 위상제어루프의 부분적인 구조를 확인해 보고 설계한 후 소자 값을 바꾸면 파형이 어떻게 변하는지 등의 실험을 했다.실험결과:이론부의 위상 제어 루프를 이해하여 요약
    리포트 | 13페이지 | 1,000원 | 등록일 2021.09.02
  • 1. 초전형(Pyroelectric) 적외선 센서 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    이용하여 센서의 움직임 검출 신호를 LED 점등으로 확인 할 수 있는 회로를 추가하시오.이론 교재 참고 시 센서를 통해 들어온 신호를 필터링한 후 Op-amp 로 증폭시켜 검출 신호를 ... 실습을 위한 이론적 배경:신호및시스템 과목에 있는 전달함수 개념을 통해 High-Pass Filter 를 저항과 커패시터로 직접 설계할 수 있어야 하며, 3dB-frequency 가 ... summer 의 구조와 Gain 을 구하는 방법을 숙지하여 직접 저항을 설계할 수 있는 능력이 필요하다.마지막으로 이론 교재를 통해 접촉식 초전형 적외선 센서 회로를 미리 숙지하여
    리포트 | 7페이지 | 1,000원 | 등록일 2022.09.09 | 수정일 2023.01.03
  • 6. 위상 제어 루프(PLL) 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    위상 검출기에서 검출신호는 R과 C로 구성된 Low Pass Filter를 통과하면서 직류에 가까운 전압으로 변환된다. ... 가변 발진기는 제어 신호의 크기에 따라 출력되는 주파수가 변하는 발진 회로이다.전압 제어 발진기의 출력과 기준 신호가 위상 검출기에 인가되면 위상 차이에 해당되는 파형이 루프 필터에 ... 실습목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.6-2.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.06
  • 공무원/공공기관/공기업/군무원 통신직 전공 질문 문답!! 여기서 다나옵니다!! 필요한 내용만 적었습니다(너무 좋아요 참고하셔서 꼭 합격하시길 바랍니다)
    군대 내에서는 보안 때문에 많이 사용되진 않지만 식원 확인 등에 사용되고 있습니다.전파의 창1~10Ghz 대역에서 위성통신으로 많이 사용하고 있습니다.샘플링 이론- 아날로그 신호를 ... 것이 샘플링 조건이 됩니다.나이퀴스트 주파수- 왜곡을 발생시키지 않는 최소 주파수입니다.나이퀴스트 이론샘플링 주파수는 입력 신호 최고 주파수의 2배 이상이 되어야 한다는 정리입니다 ... 검출과 원 데이터로 복원하는 오류정정을 합니다.재생(3R)의 기능을 말해보시오-파형재생, 식별재생, 타이밍재생이 있습니다.파형재생(Reshaping)은 왜곡된 파형을 다시 재생시켜주는
    자기소개서 | 13페이지 | 7,000원 | 등록일 2023.08.13 | 수정일 2023.08.23
  • [예비보고서] 1.초전형 적외선 센서
    센서의 움직임 검출 신호를 LED 점등으로 확인할 수 있는 회로를 추가하시오. ... (답안)앞서 설계한 Op-amp의 출력신호를 이용해서 센서의 움직임을 LED 점등으로 확인하려면, 다음과 같이 출력단에 LED 소자를 연결하여 움직임을 검출할 수 있다. ... (C 값은 10uF 고정)(답안)초전형 적외선 센서(RE200B)의 회로도는 교재 이론부의 그림 4-1을 참고하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2023.01.03
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    주제 배경 이론데이터 통신에서 신호를 주고받을 때 오류를 검출하는 방법들이 있는데 그 중 하나가 Hamming Code이다. ... 주제 배경 이론비교기는 입력되는 두 신호를 받아서 신호의 크기를 비교한다. ... 주제 배경 이론ALU는 CPU에서 연산을 담당하는 소자로 제어신호 발생기에서 제어신호를 발생시키면 디코더를 거쳐서 어떤 동작을 할지, 즉 산술, 논리 등의 연산을 하고 결과 값을 레지스터에
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한양대학교 일반대학원 전기생체공학부 바이오메디컬공학과 학업계획서
    학부에서 들은 수업을 간략히 서술하면 생체전자기학, 생체신호계측및실습, 바이오메디컬회로이론, 바이오분자공학, 의학영상기기, 바이오메디컬공학개론, 공업수학, 생체소재공학, 바이오센서, ... 발작 유발 호중구 유착이 발작 후 대뇌 혈류의 감소를 초래하는 기전 연구 등을 하고 싶습니다.저는 또한 정밀 신경외과를 위한 뇌파도 마이크로디스플레이 연구, 생체재료-실리콘 접합 광검출기 ... 이미징 방법 연구, 모바일 탄성 측정을 위한 지속적인 음악 촉진 기능이 있는 단일 빔 위상 편이 추적기 연구 등을 하고 싶습니다.저는 또한 전광학 초음파 트랜스듀서와 적응형 신호 처리
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.08.07
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    주제 배경 이론카운터는 event의 횟수를 카운트 하는 기능을 가진 순차회로이다. 주로 플립플롭을 이용하여 만든다. ... 주제 배경 이론학습한 state machine의 개념을 가지고 자판기 동작을 하는 회로를 설계했다. ... 1이 검출되면 다음 상태로, 0이 검출되면 100으로 다시 처음 Zero로 복귀48~53)101이 검출 됐고 1이 검출되면 다음 상태로 변환.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • A+ 정보통신실험 실험설계 결과보고서 - AM Radio Recevier
    Envelope Detector(포락선 검파)포락선 검파는 수신 신호의 포락선을 검출하는 복조 방식으로 수신신호를 정류하여 저역필터를 통해서 포락을 재생한다. ... 포락선 검파는 비동기검파의 일종으로 다이오드 저항, 캐패시터로 이루어진 간단한 회로를 통해 수신 신호의 포락선을 검출해내는 검파 방법이다. ... push-pull) 구조를 이용하여 전체 주기의 신호를 얻을 수 있음.- 바이어스 전류I _{eqalign{CQ#}}가 0이므로 DC전력소비가 이론적으로 0이 되어 A급 증폭기보다
    리포트 | 16페이지 | 3,000원 | 등록일 2024.02.05 | 수정일 2024.06.24
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 위상제어루프(PLL) 예비보고서
    신호 (PHI _{1} `,` PHI _{2})간의 위상차를 검출한다. ... Phase Locked Loop:PLL) >1) 위상 검출기 (Phase Detector)위상 검출기는 Phase Detector라 불리며, 두 입력 단자로 주파수 신호를 받아 두 ... LOW일 때는V` _{dd} `=`5V`이 됨을 알 수 있다.2) 루프 필터 (LF)루프 필터는 위상 검출기에서 검출신호를 Low Pass Filter(저대역 필터)로 통과시켜
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.01
  • 서울시립대학교 일반대학원 전자전기컴퓨터공학부 연구계획서
    의료 컴퓨터 비전 연구, 강산-염기 처리에 의한 용액 가공 반결정 PEDOT:PSS 필름의 높은 열전 성능 연구 등을 하고 싶습니다.저는 또한 상부 방출 양자점 발광 다이오드: 이론 ... 시뮬레이션 연구, 색상 변환 모듈을 사용한 강력한 Novel 뷰 합성 연구, 병리학 영상에서 암 분류를 위한 순서 학습 비전 변환기, 자동 의료 진단을 위한 컴퓨터 비전 연구, 전류 신호를 ... 이용한 유도 전동기의 베어링 고장 검출을 위한 분류기 비교 연구 등을 하고 싶습니다.저는 또한 사전 지식이 없는 문제에 대한 진화적 유전 신경망 연구, 뇌 영상 유전학을 위한 다중
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.04.21
  • 인천대학교 나노바이오실험(2) A+ 자료) 10. Liquid chromatography-mass spectrometry (LC/MS)을 이용한 카페인 검출
    검출된 분석물 신호가 시간별 분석물 신호를 보여주는 크로마토그램으로 변환된다.4. ... 컬럼에서 용리된 후 분리된 시료 성분은 검출기로 이동한다.④ 분석물 검출 : 특정 성질로 인해 생성된 전기 신호를 기반으로 표적 분석물이 검출된다.⑤ 크로마토그램 생성 : CDS에서 ... 여기서 수소 이온의 분자량인 1 g/mol을 제외하면 194.1 g/mol이며, 이론적인 분자량인 194.194 g/mol과 비교하여 거의 일치하는 결과를 보였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2024.02.26
  • 실습6.위상제어루프PLL 예비보고서 중앙대 아날로그 및 디지털 회로 설계 실습
    위상 검출기 phase detectorVref 와 feedback 회로로 들어온 신호의 주파수를 비교하여 그 위상 차이를 검출해낸다.실험에서는 XOR gate 를 이용하였다.2. ... 이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다. ... 와 비교하게 되는 루프가 계속 돌게 된다.VCO 의 output 과 Vref 의 주파수가 다르다면 두 신호의 위상이 다르게 되므로 위상 검출기의 출력 파형은 계속 변하게 되고 이에
    리포트 | 14페이지 | 1,000원 | 등록일 2021.07.17
  • [교육방법및교육공학] 기저이론(시스템이론, 통신이론)
    즉 과거의 전기통신에서는 단순히 전기적인 신호만을 전달할 수 있었지만, 정보처리장치인 컴퓨터와 결합한 정보통신체계에서는 음성뿐만 아니라 문자, 화상, 영상 등의 다양한 디지털 정보를 ... 송신측에서 오류를 검출? ... 정정하거나 수신측에서 검출?정정하는 방식 등 ?
    리포트 | 2페이지 | 1,000원 | 등록일 2023.07.03 | 수정일 2023.07.07
  • 2023학년도 편입학_한양대학교 생체공학과 바이오메디컬공학과 최종합격(1차합) 자기소개서
    및 이상치 검출' 강의와 책 ‘이미 시작된 미래 헬스케어 이노베이션’을 통해 지능형 생체신호 계측을 통한 발작 모니터링 시스템을 개발하겠다는 목표를 가지게 되었습니다. ... 공식이 명확히 떠오르지 않을 때에는, 학습한 이론을 떠올리며 자석의 임의의 자속을 그려 가우스 법칙에 따라 폐공간에서 자계의 유량이 0이 된다는 공식을 유도하고, 페러데이법칙, 맥스웰 ... 변화를 이해하고, 생체신호센서의 원리를 학습할 것입니다.
    자기소개서 | 3페이지 | 3,800원 | 등록일 2023.09.01 | 수정일 2023.10.02
  • [비파괴시험]음향 방출 시험
    실험 이론 및 원리가. ... AE의 계측AE 검출부 (AE변환자, 증폭기, 필터), 신호 처리부 (AE신호 변별회로(comparator), 데이터 레코더), 결과를 나타내는 표시부AE 계측계의 기본구성1) 입력부 ... 음향방출시험의 사용주파수 및 검출파형1) 사용주파수 - 일반 초음파탐상법보다 낮은 100KHz - 1MHz 정도의 초음파를 사용한다.2) 검출 파형진폭이 예리하고 큰 돌발(burst
    리포트 | 8페이지 | 3,200원 | 등록일 2022.11.07
  • 정보통신기기I ) 자동 재전송 방식(ARQ)에 대해서 설명하시오. 1. ARQ의 개요, 정지대기 ARQ 원리 및 특징 2. GO back N ARQ 원리 및 특징 3. Selective-Repeat
    대역폭이 4kHz인 PSTN 통신망에 신호전압이 31.5μV, 잡음전압이 4μV인 경우 이론적 최대전송용량을 계산하시오.6. 출처 및 참고문헌1. ... 대역폭이 4kHz인 PSTN 통신망에 신호전압이 31.5μV, 잡음전압이 4μV인 경우 이론적 최대전송용량을 계산하시오.정보통신기기I자동 재전송 방식(ARQ)에 대해서 설명하시오.1 ... 대역폭이 4kHz인 PSTN 통신망에 신호전압이 31.5μV, 잡음전압이 4μV인 경우 이론적 최대전송용량을 계산하시오.목차1. ARQ의 개요, 정지대기 ARQ 원리 및 특징2.
    리포트 | 8페이지 | 5,000원 | 등록일 2023.08.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대