• 통큰쿠폰이벤트-통합
  • 통합검색(345)
  • 리포트(325)
  • 시험자료(18)
  • 방송통신대(2)

"디지털실험 rom" 검색결과 21-40 / 345건

  • 전기및디지털회로실험 실험M1-1 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기4예비보고서 문제풀이4실험 순서8참고 문헌9실험실험 M1-1. ... 실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, LED, 디지털 테스터5. ... NOR 플래시 메모리, EPROM 그리고 OTP ROM등의 메모리를 가지고 있어 정해진 기능을 수행하도록 프로그래밍 코딩하고 이 기계어 코드를 써 넣는다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • (A+) 이학전자실험 아두이노 실험보고서
    Arduino UNO R3의 Controller Chipset은 Atmel 사의 8bit AVR 기반의 ATmega328P로, System-on-chip 구성으로 제작되어 내부에 CPU, ROM ... 방법실험 1 – LED Blink, 실험 1 소스코드.Arduino IDE가 설치된 컴퓨터에 Arduino UNO R3을 USB로 연결 후 Port를 지정한다.Digital Pin ... 5번에 330ohm 저항을 통해 LED를 연결하여 Digital GND pin으로 연결한다.와 같은 소스코드를 입력하고 Arduino로 Export 한다.LED의 변화를 관찰한다.실험
    리포트 | 12페이지 | 5,000원 | 등록일 2020.06.22 | 수정일 2021.07.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    PROM- Programmable Read Only Memory의 약자로, 다시 수정 가능한 ROM을 총칭한다(EPROM, EEPROM 등).- 모델 온보드 (공중) 디지털 컴퓨터. ... 실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... 실험 이론(1) ASICa.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로실험 마지막 프로젝트 라인트레이서.
    논리회로설계실험 프로젝트 #3라인 트레이서 설계1. 설계 배경 및 목표스텝 모터, 라인 트레이서, 적외선 센서 등에 모터를 사용하는 차에 대해 조사해본다. ... 따라서 디지털펄스 1개의 스텝에 해당하는 회전각만큼 정확한 회전운동을 하게 되며, 입력펄스의 수와 단위시간당 펄스입력속도에 정확히 비례하여 연속운동을 한다.- 스텝모터를 구동하는 방식은 ... 하지만 저장되어 있는 데이터들을 바꾸지 못한다는 단점이 있다.- PROMROM의 특성을 가지고 있지만, 생산하는 과정에서 데이터가 저장되어 쓰이는 ROM과는 달리, PROM은 생산된
    리포트 | 8페이지 | 2,500원 | 등록일 2021.10.01
  • 교수매체란 무엇인지 교수매체의 종류, 각 교수매체의 학습 효과성에 대한 정보
    컴퓨터에는 디지털 컴퓨터와 아날로그 컴퓨터의 두 가지 유형이 있다. ... 디지털 컴퓨터는 가장 보편적인 것으로 소수점, 이진법 또는 기타 체제의 단위로 직접 표현되는 수를 연산 처리한다. ... 광범위하고 공공성과 신속성이 높으며 텔레비전 등에 비해 경비가 저렴하다.(2) 교육 텔레비전교육 텔레비전은 그 자체로 완성적인 프로그램을 반영하기도 하지만 대개 연습, 독서, 토의, 실험
    리포트 | 10페이지 | 5,500원 | 등록일 2023.01.05
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 따라서 FPGA는 일반적으로 외부 ROM과 함께 사용한다.- 본 실험에서 사용하는 FPGA는 휘발성(volatile)이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 따라서 FPGA는 일반적으로 외부 ROM과 함께 사용한다.- 본 실험에서 사용하는 FPGA는 휘발성(volatile)이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 정보화 교육,정보화 사회 교육매체,정보화 교육,미디어교육,디지털교과서,미디어해독,비판적해독
    정보화배경 - 교육매체 - 학업성취도와 관계 - 결론2 - 2) 디지털교과서 Digital Textbook1. ... 카메라 , 스피커 , 오디오 , 비디오 , CD-ROM, 스캐너 , 프린터 외 정보화배경 - 교육매체 - 학업성취도와 관계 - 결론우리나라의 ICT 활용수준 ∨ 컴퓨터를 접해본 학생의 ... 학업성취도에 영향을 미칠 수 있는 성별 , 사회경제문화적 지위 , 과외여부 등의 개인배경 , 주요학습태도 , 학교특성 등의 변인을 통제한다고 가정 ) ∨ ICT 활용교육을 실시한 실험집단이
    리포트 | 39페이지 | 6,000원 | 등록일 2020.03.11
  • 멀티미디어 데이터를 사용하는 플랫폼 중 하나를 택일하여 소개 및 관련 기술과 동향 분석 등을 조사하시오.
    이것은 모의실험을 이용한 현실 세계와 비슷한 생동감 넘치는 재현을 가능하게 하며 가상의 공간을 이용한 체험도 가능하게 한다. ... IBM PC 호환 기종인 애플, 컴퓨터, 워크스테이션등과 같은 하드웨어 시스템과 오디오와 화상 처리를 위한 사운드 및 비디오 카드, 멀티미디어 정보의 저장 및 기록을 위한 CD-ROM ... 더 나아가 멀티미디어 플랫폼과 관련된 다양한 기술들에 대해서 현재 표준화가 진행되고 있는 분야는 디지털 데이터의 압축 및 복원 알고리즘과 기록 매체 분야라고 판단된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2021.04.04
  • 미래 원격교육에 대한 시나리오를 작성하시오
    방송 매체는 라디오, 텔레비전, 디지털 방송을 포함한다. ... 그동안 멀티미디어 기능, CD-ROM 기능, 하이퍼텍스트 기능 등이 추가돼 학교와 기업에서 지속적으로 개발·활용하고 있다.현재 가장 활발한 원격교육 중 하나로 사용되는 웹 기반 수업 ... 특히 직접 보고 체험하는 등 주로 실험적인 과학수업의 경우 AR 활용을 통해 보다 현실적인 시각수업을 할 수 있는 매우 뜻깊은 기회라고 생각했다.메타버스가 원격교육 변화의 출발점이
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.07
  • 4차 산업혁명시대의 정보통신개론 내용 정리
    (아날로그)모뎀-직렬병렬변환기-디지털데이터수신부DSU(Digital Service Unit) - 디지털 정보를 먼 곳에 전송하기 위해 디지털 신호로 변환(전송회선이 디지털 회선인 경우 ... 주 기억장치 RAM ROM바. 보조기억장치1) 하드디스크2) CD.DVD :3) USB :휴대하기 편함, 용도 모양 다양함.사. ... 방송+자동차=지상파DMB / 통신+자동차=네비게이션2) 인간과 ICT의 융합 :휴머노이드사이보그 : 1998년 최초로 체내 컴퓨터 칩 삽입, 로봇 팔, 인공신경, 망망 이식 등 실험
    시험자료 | 15페이지 | 1,500원 | 등록일 2021.04.06
  • 플럭서스, ZKM, Ars Electronica에 대하여
    방문객들은 전시와 강연, 이벤트 등 다양한 모습을 둘러볼 수 있다.매체미술연구소는 디지털영상, 컴퓨터그래픽, 통신기술, 인터랙티브 시스템? ... CD-ROM, 디브이디(DVD) 등의 영상기술 연구와 매체문화에 관한 창의적·비판적 토론을 주요 업무로 한다. 스튜디오와 멀티미디어 연구실에서는 작가 및? ... 최근 기초 연구부와 ZKM 온라인이 개설되었다.한 곳에서 모여 뉴미디어를 이론적으로 탐구하고, 실험하고 적용함으로써 실제 사용 가능한 모델을 만들어 내는 데 주력하고 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.09.12
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    DIGITAL DESIGN』4TH ED, PEARSON Education. ... 그러므로 컴퓨터 내부에서 2진수로 코드화된 데이터를 해독하여 대응되는 한 개의 신호로 바꾸어 주므로, 문자와 같은 형태로 바꾸어 출력시키는 데에 사용된다.- ROM, RAM과 같은 ... )실험 과정: 실험 1과 같은 실험 준비과정을 거치고, 실험 3에 대한 준비된 결선도를 이용하여 Breadboard 위에 실험 3의 3(0011)을 더하는 Encoder를 74HC04와
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 교직) 원격교육과 이러닝 요약 레포트
    정보 분석하기- 개념: 다양한 방법(웹사이트 검색, 설문조사, 실험, 구체물을 통한 자료 확보 등)으로 수집한 원시자료를 문서편집기나 데이터베이스, 스프레드시트 등을 이용하여 비교 ... 이해하도록 하여 전달하는 부분으로 나뉜다.정보의 수집과 관리 어떤 목표를 설정할 것인가, 어떤 정보를 수집할 것인가, 선택한 정보통신 기술을 어떻게 사용할 것인가, 수집한 정보를 어떻게 디지털자료로 ... 정보 안내하기- 개념: 교사가 대부분의 학습활동을 주도하는 유형으로, 미리 잘 짜여진 수업처럼 교사가 미리 수업을 계획하여 필요한 단계에서 교육용 CD-ROM 타이틀을 제공하거나,
    리포트 | 16페이지 | 2,000원 | 등록일 2020.03.29
  • 인공지능 시대의 컴퓨터 개론 개정7판 연습문제 (8~13장 정답)
    디지털 영상회의는 반드시 전용선을 사용해야 가능하다.(x 자체적 스튜디오 설비필요)13. 음악 CD나 교육용 CD는 CD-ROM 타이틀에 속하지 않는다.(x 속한다 )14. ... 특히 학생들에게 보다 효과적인 교육과 함께 실제 실험을 하기에는 장비 면이나 위험성 면에서 어려운 부분들을 가능케 해준다.여섯 번째, 하이퍼미디어 응용. ... 어도비 포토샵은 2차원 이미지 도구로서 현재의 PC수준에서 가장 강력한 기능을 가진 디지털 영상 처리 소프트웨어이다.4.
    시험자료 | 24페이지 | 4,500원 | 등록일 2022.06.17
  • 예술일반 주제정보원
    특징 - 국회도서관 열람신청 후 디지털정보센터에서 열람 가능Ⅳ . ... 여러 경향의 비판적 , 실험적 견해를 제시하고 있는 문화정책지이다 .Ⅴ . ... 미술 연구자들이 인터넷에서 정보를 찾고 이용하는데 필요한 방법론과 자원을 기술한다. ( 예스 24)8 인터넷에서 미술 관련 시간 및 텍스트 정보를 찾는 방법과 인쇄 소스 , CD-ROM
    리포트 | 28페이지 | 2,500원 | 등록일 2020.08.24
  • [텀프] 아날로그회로설계(op-amp) 텀프로젝트 컬러리더기
    ROM의 상위 3bit 주소로 출력된 RGB 3bit가 대입되며 ROM에 저장된 디지털 값이 출력된다.DAC버퍼&엠프아날로그 신호가 버퍼에 저장되고 이 값이 엠프로 입력, 엠프 출력이 ... 실험사진(1) 브레드보드 구현(2) 외관 완성 후 최종9. ... 실험사진9. 결과 및 토의10. 참고자료11. 부록1.주제: 컬러 리더기2.팀인원 : 2명3. 동기 및 목적뉴스를 통해서 시각장애인들의 불편함을 인지하게 되었다.
    리포트 | 16페이지 | 3,000원 | 등록일 2019.12.30 | 수정일 2019.12.31
  • 4차 산업혁명 시대의 컴퓨터 개론(개정판) 6~12 단원 솔루션
    음악 CD나 교육용 CD는 CD-ROM 타이틀에 속하지 않는다.(X)10. 디지털 영상회의는 반드시 전용선을 사용해야 가능하다.(X, 다른 방법도 가능)11. ... DMB(Digital Multimedia Broadcasting)4. ... 소프트웨어 기업인 구글은 시각 장애인이 탑승한 무인자동차를 이용하여 고속도로를 거쳐 출퇴근을 하는 실험을 성공했다고 발표했다.(○)13. 미국에서는 무인자동차가?
    시험자료 | 17페이지 | 3,000원 | 등록일 2020.04.22 | 수정일 2021.03.05
  • 광전자공학 1주차 보고서_광센서의 종류와 원리
    광전자공학실험1주차 보고서 과제광센서의 종류와 각각의 간단한 원리광센서는 빛 신호를 전기신호로 변환하여 감지할 수 있는, 사람의 눈과 같은 역할을 하는 감지 소자이다. ... 디지털 핀은 1과 0으로 대표되는 High/Low 방식의 이산 데이터를 사용하며, 아날로그 핀은 연속된 데이터를 받아들인다.전원/접지 핀은 회로가 구동할 수 있는 전원을 공급해주기 ... /%EC%98%A4%ED%94%88_%EC%86%8C%EC%8A%A4" \o "오픈 소스" 오픈 소스를 기반으로 한 MCU(Micro Controller Unit), 그 중에서도 ROM
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 문헌고찰-교육연구법
    연구방법론에 대한 통찰- 선행연구를 고찰함으로써 보다 구체적인 연구수행 절차에 대한 방법론적 통찰을 얻을 수 있다. ( 연구대상의 선정, 측정도구의 제작이나 선정, 조사면접 절차나 실험절차 ... Information Center)미국 정부 지원 교육정보체제, 교육정보제공, 1966년부터 현재까지 발간된 모든 교육학관련 자료의 서지 정보를 온라인, 데이터베이스 혹은 CD-ROM제공 ... 제공9University Microfilminternational(UMI)(http://umi.com)학위논문 탐색 시 가장 많이 이용되는 자료원, 우리나라 교육학술정보원에서도 DDOD(Digital
    리포트 | 11페이지 | 3,000원 | 등록일 2020.10.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대