• 통큰쿠폰이벤트-통합
  • 통합검색(2,119)
  • 리포트(1,999)
  • 시험자료(83)
  • 방송통신대(14)
  • 자기소개서(13)
  • 논문(5)
  • 서식(4)
  • ppt테마(1)

"감산기" 검색결과 21-40 / 2,119건

  • [부산대 어드벤처 디자인] 9장 2의보수 및 4비트 가,감산기 예비보고서
    실험목적2의 보수에 대한 이해를 바탕으로 Binary 4-Bit 가/감산기를 이해한다. Binary 4-Bit 가/감산기를 구성하고 동작을 파악한다2. ... 그래서 이러한 문제를 해결하기 위 하여 디지털에서의 가산기/감산기는 특별한 수의 형태를 쓴다. ... 실험 이론감산기에서는 가산기와는 다른 방식을 취해야 한다. 실제 숫자를 빼는 것처럼 하는 회로는 가산 기와는 전혀 다른 새로운 회로가 되어 이중 낭비가 발생한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
  • 가산기와 감산기
    가산기는 2개의 반감산기를 이용해서 만들 수 있고 감산기는 2개의 반감산기를 통하여 만들 수 있는 것을 확인 할 수 있다. ... 및 토의전가산기와 전감산기의 회로를 구성하는 것이 조금 복잡하다. ... 1)AND, OR, 그리고 XOR 게이트를 이용한 전가산기입력출력ABCSC _{0}0*************1101110111결과실험2)AND, OR그리고 XOR게이트 전감산기 회로입력출력XYZDB000101110011100001010111결과전가산기전감산기결과표결과
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 가산기 감산기
    REPORT제목 : 가산기&감산기수강과목 : 기초전자실험21.실험목적-가산기와 감산기가 무엇인지 이해한다. ... - 0+1=1→01- 1+0=1→01- 1+1=2→10*전가산기2진수 2개와 아래 자리 연산에서 발생한 캐리를 입력하여 합(S)과 캐리(S)를 생성하는 회로감산기*반감산기한자리 2진수 ... *************10110110010101001100011111가산기&감산기 실험-#4(4비트 가감산기)실험순서1.브레드보드에 논리게이트를 이용하여 다음의 회로를 구현하여 진리표를
    리포트 | 8페이지 | 2,000원 | 등록일 2018.11.02 | 수정일 2019.07.13
  • 가산기와 감산기
    가산기와 감산기, 4비트 2진 비교기 실험● 실험 목적- 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 본다 ... 숫자 위치에서 자리 내림 비트를 받아 Difference와 Borrow를 출력하는 회로이다.전감산기와 반감산기를 조합하여 4비트 이상 의 뺄셈을 할 수 있다.⑤ 4비트 2진 비교기 ... 구하는 논리회로이다.② 반감산기 설계- 각각 2개의 입력 단자와 출력 단자를 가지는 회로로써, 두 개의 비트를 빼서 Difference와Borrow를 구하는 회로이다.③ 전가산기
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 가산기와 감산기 회로 레포트
    반가산기 2개로 전가산기를, 반감산기 2개로 전감산기를, 감산기의 경우 감산기모듈 외에 보수를 취해 가산기로 만들 수 있다는 것을 알게 되었다. ... 전감산기의 경우, 전가산기처럼 감산기 모듈을 이용하여 병렬감산기를 만들어 내거나 1의 보수나 2의 보수를 이용하여 감산기를 만들 수 있다. ... 가산기와 감산기 회로1. 실험목적① 가산기 회로 설계 및 실험② 감산기 회로 설계 및 실험③ BCD 가산기 회로 설계 및 실험2.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • 가산기와 감산기
    목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 반감산기와 전감산기의 원리를 이해한다.(3) 가산기와 감산기의 동작을 확인한다.(4) 가산과 감산을 할 수 있는 회로를 설계하는 ... 이 논리식을 만족시키는 회로가 그림 4-1의 전감산기 회로이며, 그림 6-2는 전감산기의 기호이다.그림 4-1 전감산기 회로그림 4-2 전감산기 기호3. ... 실험 순서 (주의사항)이번 실험은 가산기와 감산기라는 주제를 가지고 실험을 하는데 지금까지 사용했던 TTL을 가지고 가산기와 감산기를 꾸미는 실험을 합니다.
    리포트 | 14페이지 | 1,500원 | 등록일 2016.11.10
  • 베릴로그 8비트 가감산기
    전가산기 8개로 -128~+127 까지 표현 가능한 8비트 가산기. 이전캐리가 다음 전가산기값에 영향을 줌. ... 전가산기 소스코드 설명 A, B, Cin을 봤을 때 이 세 변수의 합을 구한다고 하면 0~7까지 나올 것. ... 이 점을이용해서 case문으로 합으로 분기하며, 그 값에 따라 진리표 값대로 그대로 Cout,S값을 대입하는 방식으로 설계된 전가산기.Ex) A=1,B=1,Cin=0일 때 합은 6이므로
    리포트 | 17페이지 | 1,000원 | 등록일 2018.09.09
  • 연산증폭기를 이용한 가산기와 감산기
    실험 제목 : 연산증폭기를 이용한 가산기와 감산기1. 실험목적연산증폭기를 이용한 가산기와 감산기의 동작을 설명하는 데에 있다. ... 이때, 출력이 두 개의 입력의 차로 결정되므로 감산 증폭기라 부른다. 2단 연산증폭기를 이용한 감산증폭기단일 연산증폭기를 이용하여 구성된 감산증폭기가 이다. ... 스케일링 가산기의 대표적인 응용이 D/A변환기 회로이다.3개의 력을 가지는 가산증폭기2.2 감산증폭기두 입력 신호의 감산을 위해 감산증폭기를 구성하는 방법에는 2단의 연산증폭기를 사용하는
    리포트 | 4페이지 | 1,500원 | 등록일 2018.12.05
  • 연산증폭기를 이용한 가산기와 감산기
    실험 제목 : 연산증폭기를 이용한 가산기와 감산기1. 실험 목적연산증폭기를 이용한 가산기와 감산기의 동작을 설명하는 데에 있다. ... 연산증폭기는 여러 신호들의 가산이나 감산에 사용될 수 있으며 아날로그 컴퓨터에 가장 많이 사용되는 연산증폭기 응용회로가 가감산 회로이다.2. ... 또한 입력 전압이 증폭이 되면서 증폭기에 걸어준 전압 이상으로 전압이 나올 경우 그 이상의 값은 무시되는 결과를 볼 수 있었다.실험에서 가산기와 감산기 회로와 미분기, 적분기 회로
    리포트 | 5페이지 | 1,500원 | 등록일 2017.12.19 | 수정일 2018.02.07
  • 디시설 - 전가산기, 전감산기 설계
    결과 보고서( 전가산기, 전감산기 설계 )제목전가산기, 전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 ... 그리고 감산한 결과와 위에서 빌린 수를 나타내야 한다. 전가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL로 설계하는 방법에 대해 공부한다. ... 전감산기의 진리표를 완성하라.Di = (Xi - Bi) - Yi[표 3-13] 전감산기의 진리표XiYiBiDiB00000001110101101101100101010011000111112
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 'High‘ 일 때 두 번째 자리를 출력하고, 'High'가 아닐 때 첫 번째 자리를 출력한다.4비트 가산기결과3, 3 입력15, 3 입력15, 7 입력9, 9 입력BCD 가산기VHDL코드
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 가산기, 감산기
    가산기, 감산기1. ... number)인지는 사용자가 결정해야 할 사항인데, 무부호 수일 경우는 가산기와 감산기를 별도로 설계해야 하지만, 2의 보수일 경우는 가산기 하나로 가감산 수행할 수 있다. ... 실험목적가산․감산 연산을 구현해 본다.4비트 2진수를 Excess-3 코드로 변환하는 변환기를 설계, 구현, 실험한다.오버플로우(overflow) 검출로 부호화 수의 가산기 설계를
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • <A+> 가산기 감산기 실험보고서 (예비, 결과)
    반가산기, 전가산기, 반감산기, 전감산기. ... 전감산기의 진리표그림 4. 전감산기 회로수식 4. ... 반감산기의 진리표그림 3. 반감산기 회로 수식 3.
    리포트 | 15페이지 | 1,500원 | 등록일 2018.11.10
  • A+받은 TTL 7483을 이용한 4자리 가감산기
    의해 정해져서 4자리 가감산기 계산이 완성되는 것을 알 수 있고 결과값을 확인할 수 있다.WinCUPL을 이용한 4자리 가감산기 설계 및 모의실험모의실험 결과전가산기부의 CUPL 코드와 ... 조합회로 ㅣ모의실험 및 분석TTL 7483을 이용한 4자리 가감산기 모의실험회로도를 통해 cB1~cB4가 B1~B4와 SUB 값에 의해 결정되고S5가 A4, B4, SUB, C4에 ... Carry값이 출력되고 SUB 값인 C0과 A1~A4와 B1~B4에 의해 S1~S4가 출력된다.모의실험 결과XOP 논리부의 CUPL 코드와 모의실험 결과는 다음과 같다.4자리 가감산기
    리포트 | 1페이지 | 1,000원 | 등록일 2019.09.06
  • 가산기, 감산기 실험 결과보고서
    7장 가산기, 감산기(결과 보고서)1.실험목적- 가산? ... 감산 연산을 구현해본다.- 4비트 2진수 Excess-3 코드로 변환하는 변환기를 설계, 구현, 실험한다.- 오버플로우(overflow) 검출로 부호화 수의 가산기 설계를 완성한다.2 ... 우리가 실험에서 구성한 회로는비교기 1개와 가산기 1개를 사용하였다. (B3B2B1B0)에 9(1001)를 입력하고 비교기에A>9 , A
    리포트 | 3페이지 | 1,000원 | 등록일 2016.06.30
  • 7. 가산기감산기예비보고서
    전자 회로 실험 ⅡOP-Amp 실험 회로가산기/감산기예비 보고서1. 실험 목적아날로그 가산기에 대한 회로 동작을 습득한다.2. ... R1=R2=RF 일 때에 저항비가 1:1로 없어지면서 Vout = - ( V1 + V2) 가 되어 V1과 V2의 전압이 음이냐 양이냐에 따라서 가산기가 되기도 하고 감산기가 되기도 ... ][가산기 PSpice simulation]4.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.29
  • 결과 가산기 & 감산기
    측정에 있어서 별다른 오류는 없었다.[ 고찰 및 분석 ]반가산기, 전가산기, 반감산기, 전감산기 총 4개의 연산기를 구현하여 그 동작에 대하여 직접 관찰해 보는 실험을 하였다. ... 반감산기를 만드는 과정에서도 별다른 특별한 점은 없었으며 결과 측정도 교재에 나와 있는대로 잘 이루어졌다.마지막으로 전감산기를 구현했다. ... 이 때문에 가산기가 감산기로서의 동작을 하게 되는 것이다. 마찬가지로 입력에서 빌림수를 고려해 주지 않아 다중 비트연산에서 불완전하다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.26
  • [verilog HDL] 감산기와 비교기의 설계
    전가산기와 큰 차이가 없었다.Prelab2에서는 Prelab1에서 만든 1bit 전감산기를 이용하여 4bits 전감산기를 설계하고 FPGA에서 작동시켜 보았다. ... 설계시 XOR 게이트를 사용하여 1bit 감산기를 만든 후 이를 Module Instance를 사용하여 4bits 감산기를 설계한다.2) Simulation으로 설계된 디자인을 검사해 ... 실험 도구 & 실험 방법•실험의 절차1) XOR 게이트를 이용하여 감산기를 설계한다.
    리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 예비 가산기 & 감산기
    실험목적- Logic gate 를 이용해서 가산기(adder) 와 감산기 (subtracter)를 구성한다.- 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 ... )에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 회로로 구성되어 있다.반감산기(Half Subtracter ... 하지만 반가산기와 마찬가지로 최상위에서의 빌림수를 처리하지 못하여 불완전하다.전감산기(Half Subtracter)입력출력입력출력ABBiDBoABBiDBo0000010010001111010001011110000110111111바로
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 10주차 가산기 & 감산기
    실험 목적– 반가산기와 전가산기의 논리와 회로의 이해– 반감산기와 전감산기의 논리와 회로의 이해실험과정1. ... 반가산기의 회로를 구현하기 위해 Quatrus ll를 이용하여 두 결과 값 s와 c의 결과 값이 서로 일치하는지를 Modelsim을 이용하여 증명하자1) 회로도반가산기의 회로를 나타낸
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대