• 통큰쿠폰이벤트-통합
  • 통합검색(2,182)
  • 리포트(2,067)
  • 시험자료(70)
  • 자기소개서(33)
  • 방송통신대(8)
  • 서식(3)
  • ppt테마(1)

"가산 회로" 검색결과 21-40 / 2,182건

  • 디지털 회로 실험 - 실험2. 가산기 결과보고서
    또한, 결선에 있어서 각각 연결되는 회로에 조금의 실수가 있을 경우 올바른 값을 도출할 수 없다는 것을 확인하였고, 이는 설계의 중요성과 동시에 결선의 중요성에 대하여 인지할 수 있었다 ... 반가산기는 자리 올림 수(Cin)가 없는 경우를 반가산기라 하였고, 전가산기는 자리 올림 수(Cin)가 있는 경우를 전가산기라 하였다. ... 고찰이 실험은 반가산기와 전가산기를 직접 결선해보고 결과를 확인하는 실험이었다. 앞선 실험에서 사용했던 NAND 게이트를 이용해서 반가산기와 전가산기를 결선하였다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.05.26
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 결과
    6장, 가산기와 ALU 조합논리회로 응용 결과보고서◈ 실험 결과 및 검토나. ... 이 회로가 일반적으로 알고 있는 감산기의 회로라고 생각하면 안 되고 이것 또한 가산기를 이용한 것이기 때문에 가산한 결과를 2의 보수로 나타내어야 우리가 일반적으로 알고 있는 감산기 ... 전가산기의 회로를 구현하고 출력을 확인하여 다음의 진리표를 완성하라.☞ 브레드보드에 회로를 구성한 모습☞ C를 측정하는 모습(좌)과 S를 측정하는 모습(우)☞ 전원을 5V를 주었을
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    6장, 가산기와 ALU 조합논리회로 응용 예비보고서1. 실험목적가. 반가산기와 전가산기의 원리를 이해한다.나. ... 전가산기(Full Adder)두 개의 이진수와 아래 자리에서 발생한 자리올림수를 더해주는 회로를 전가산기라 한다. ... 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.다. 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • [논리회로실험] 가산기&감산기 예비보고서
    실험이론1) 반가산기- 2진수 덧셈에서 맨 오른쪽 계산을 위해 사용됨- 2개의 비트 A, B를 더해 합 S와 자리올림 Co를 출력하는 조합 회로- S=A?B, C=A? ... 가산기 & 감산기1. ... B 로 표현ABSC*************1012) 전가산기- 2개의 비트 A, B와 자리올림 Ci를 더해 합 S와 Co를 출력하는 조합회로- 반가산기 2개를 사용하여 전가산기 구성
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 예비 보고서
    REPORT과 목: 기초회로실험I담당교수:소 속: 전자공학전공학 번:이 름:◆ 목 적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다◆ ... 가산을 할 수 있는 회로로서 아래와 같이 두 개의 반가산기와 1개의 OR 게이트로 구성할 수 있다.A_{ n}B _{n}C _{n-1}S_{ n}C_{ n}0000000110010100110110010101011100111111 ... A와 B를 더하면, 그 합 S와 자리올림수 C가 발생하는데 이때 두 출력을 동시에 나타내는 회로를 반가산기라 하며 논리식은 다음과 같다.S=A BULLET bar{B} + bar{A
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 결과 보고서
    가산기를 만들면서 1+1의 계산의 경우 합의 값이 2가 되는데 이는 2진수에서 표기가 불가능하기 때문에 0이 되고 1이 올림(carry)가 되는 것을 확인 할 수 있었다. ... 작성하여라.A0B0A1B1S0S1C00010.1265V4.4219V0.1568V01114.4376V0.2664V4.4586V10104.4353V4.4287V0.1139V10114.4084V0.1056V4.4258V11110.1138V0.1456V4.4384V◆비고 및 고찰게이트들을 이용하여 가산기와 ... (3) 다음은 반감산기 회로이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • [논리회로실험] 실험3. 가산기&감산기 결과보고서
    B))전가산회로의 구성은 반가산기 두 개를 사용하고 이에 OR 게이트를 추가로 사용하였다. ... 반가산기 동작에서 자리 올림수를 고려하여 계산하게끔 보안된 회로이다. ... Bi전감산기 회로의 구성은 전가산기와 마찬가지로 반감산기 두 개를 사용하고 이에 OR 게이트를 추가로 사용한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.28
  • 가산기-예시로 살펴보는 개념, 회로도(수기)그림 있음
    예시로 살펴보는 전가산기 구조 전가산기란 세 개의 입력 변수를 통해 합과 캐리라는 두 개의 출력 변수를 출력하는 조합회로이다. ... 전가산기-출력변수 Ci+1와 S에 대한 수식전가산기의 출력변수는 Ci+1와 S, 두 개다. 다음은 Ci+1에 대한 수식이다. ... 전가산기-연산과 진리표전가산기는 과 다르게 이진법의 계산을 따른다. 그러므로 세 개의 입력 변수를 더한 값의 범위는 0~3으로 한정 지을 수 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.10
  • 전자회로설계 가산증폭기 & 능동필터에서 대역통과필터
    1이고 1의 자리를 뜻한다. 마찬가지로 채널 2와 3의 증폭률을 구해보면 2와 4인데 2의 자리와 4의 자리를 뜻한다. 채널123에 모두 1Vpp값이 들어감을 파형을 통해서 확인했고 출력으로 7Vpp의 값이 나오게 됨을 확인했다.주파수의 크기가 2kHZ일 때 가장 출력..
    리포트 | 9페이지 | 1,500원 | 등록일 2020.10.23 | 수정일 2021.04.15
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    [그림 1] 반 가산기의 진리표와 회로도[그림 2] XOR에 의한 반가산기1.1.2 전가산기컴퓨터 연산에서 반가산기로는 두 비트 이상의 2진수를 가산을 하는데 불충분하다. ... 고찰이번 텀 프로젝트는 3비트 가산기를 이용한 7세그먼트 디스플레이 실험으로, 가산기에서 입력값을 가산하여 출력, 디코더에서 받아 복호하고 세그먼트에서 가산된 값을 출력한다.회로구성 ... 회로도 및 구성※ 패턴도 (점프선 3개 사용)※ 회로구성 (기판 앞)※ 회로구성 (기판 뒤)4. 실험 결과5.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • [A+] 연산 증폭기 가산기, 미분기, 적분기 회로 예비보고서
    회로들을 조사하고 그 회로들의 기능에 대해서 간략히 설명하시오.가산기는 여러 신호들의 가산에 사용되고 가산기를 응용한 회로의 예시로는 아날로그 컴퓨터입니다. ... I-V Characteristics of a Diode실험 목표연산증폭기를 이용한 가산기, 미분기 및 적분기 회로를 구성, 측정 및 평가해서 연산증폭기 연산 응용 회로를 이해실험 회로연산증폭기 ... 회로연산증폭기 가산회로 (그림 6.2)에서 세가지 저항 조합에 따라서 출력 전압 (Vout)을 멀티미터로 측정하시오.그림 6.2.
    리포트 | 18페이지 | 2,000원 | 등록일 2023.11.24
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 하지만 BCD의 단점은 컴퓨터가 기본적인 연산을 하기 위해서 회로가 좀 더 복잡해진다는 것과 데이터들을 저장할 공간이 더 필요하다는 것이다.한자리 수의 BCD표10진수BCD842** ... 14- 일반적인 2진수 계산은 다음과 같지만, bcd로 숫자를 표현할 때는 십의 자리 수에 해당되는 숫자는 일의 자리 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 아주대 논리회로실험 실험3 가산기 & 감산기 예비보고서
    회로 결선도실험1. 반가산기 실험2. 전가산기실험3. 반감산기 실험4. 전감산기5. 실험 과정실험1. ... 양쪽의 핀이 7개씩 총 14개가 존재하고, 7번 핀과 14핀은 회로를 동작시키기 위한 전원이 들어간다. ... 양쪽의 핀이 7개씩 총 14개가 존재하고, 7번 핀과 14핀은 회로를 동작시키기 위한 전원이 들어간다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 기초전자회로실험1 10주차 n-Bit 이진 가산기 예렙
    관련 이론 [ XOR] XOR 게이트는 수리 논리학에서 주어진 2 개의 명제 가운데 1 개만 참일 경우를 판단하는 논리 연산 회로이다 . [ 반가산기 ] 반가산회로는 2 진수 덧셈에서 ... 5. n-Bit 이진 가산기 실험 목표 -XOR 게이트의 논리회로 동작을 이해할 수 있다 . ... 두 개의 비트 Ao 와 Bo 를 더한 합 So 와 자리 올림 Co 을 출력하는 조합회로이다 . [ 전가산기 ] 전가산회로는 두 개의 비트 A1,B1 와 밑자리로부터의 자리올림
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 충북대학교 전자공학부 기초회로실험 Multiplexer 가산-감산 예비 보고서
    이렇게 만들어진 8개의 함수를 8-입력multiplexer에 입력할 수 있고 이때 출력은 3개의 변수로 제어 가능하다.(4) 전가산기(Full adder)74LS153은 전가산기를 ... ◆ 목 적(1) 전가산기 구성을 위해 2개의 4입력 Multiplexer사용을 익힌다.(2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.◆ 원 리1) 멀티플렉서 ... (Multiplexer)멀티플렉서(Multiplexer)는 복수 입력신호 중의 어드레스 정보에 의해 선택, 출력하는 기능을 가진 회로 또는 소자로서 데이터 셀렉터(Data Selector
    리포트 | 3페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 충북대학교 전자공학부 기초회로실험 Multiplexer 가산-감산 결과 보고서
    (2) 과 같이 회로를 연결하고 진리표를 작성하라. ... 실험은 N개의 입력 데이터에서 1개의 입력만을 선택하여 단일 채널로 전송하는 멀티플렉서와, 2개의 멀티플렉서 중 하나는 합을 발생시키고, 하나는 자리올림수를 발생시키는데 사용하는 전가산기 ... 그래서 S=0인 경우에만 보면, A=0, B=0일 때D_0, A=0, B=1일 때D_1, A=1, B=0일 때D_2, A=1, B=1일 때D_3를 선택하게 된다.전가산기는 예를 들어서
    리포트 | 3페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • (기초회로 및 디지털실험) 4비트 전감가산기 설계 [4 bit adder-subtractor]
    4비트 전감가산기의 회로도를 설계하고 진리표와 boole 함수를 작성한다.위 회로와 같은 4비트 전감가산회로를 MAXPLUS 프로그램을 이용하여 설계하고, 아래와 같은 진리표를 ... 컴퓨터는 전가산기를 반가산기 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다. ... 구성된 회로도가 진리표대로 작동이 되는지 입력에 따른 결과 값을 예상하여 본다.위의 회로를 이용해 시뮬레이션을 돌리면 다음과 같은 파형이 출력된다.Ⅳ 고찰이번 설계를 통해 전가산기는
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다.Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드Testbench ... 위 식을 회로로 그리면 는 4단계 AND-OR-AND-OR 게이트 회로로 나타내어진다. ... 게다가 그 전파지연이 ns단위 이상이었다면, 회로의 입력변화가 ns단위로 일어나는 이 회로에서는 심각한 오류를 초래할 수 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전가산기(4-bit adder) 예비
    (E) 설계한 회로중 하나를 선택하여 2Bit 가산회로를 설계한다.앞의 전가산기의 carry out은 뒤 전가산기의 carry in이 된다. ... 전가산기 설계(A) 전가산기에 대한 진리표를 작성한다.A,`B는 더해지는 입력이고C _{i`n}은 하위 전가산기에서 생긴 carry이다.A,`B`,C _{i`n}을 모두 더했을 때 ... 아날로그 및 디지털회로 설계 실습11주차 예비: 2-bit Adder 회로 설계전자전기공학부20160000 하대동고릴라1.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.23
  • 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    1. 설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. 각 add4 module은 2개의 sub-module인 add2로 구성되어 있고, 각 add2는 2개의 sub-module인..
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감