• 통큰쿠폰이벤트-통합
  • 통합검색(1,311)
  • 리포트(1,193)
  • 자기소개서(65)
  • 방송통신대(27)
  • 시험자료(18)
  • 논문(7)
  • 표지/속지(1)

"디지털 시계 회로" 검색결과 361-380 / 1,311건

  • 광운대학교 전기공학과 1학년 실험1
    완전히 돌리면 눈금 맞추기 즉 TIME/DIV선택위치의 동일한 시간간격이고, 반시계방향으로 완전히 돌리면 대게 2.5배까지 시간 간격이 늘어난다. ... 최근에는 전기량을 지침으로 읽게 되어있는 아날로그 멀티미터 이외에 측정량이 직접 수치로 나타나는 디지털 멀티미터도 많이 사용되고 있다. ... 지자기의 영향 등으로 경사가 지는 것을 교정*SCALE ILLUM : 화면상의 눈금자의 밝기 조정*TIME/DIV : 수평 1눈금당 시간 선택*VAR : TIME/DIV의 미세조정 : 시계방향으로
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 디지털 시스템 및 논리회로 프로젝트 보고서
    디지털 시스템 및 실습 프로젝트’목 차▣프로젝트의 목적- 2▣디지털 시계의 논리회로도 분석 및 개요- 2 ~ 4▣시계에 사용되는 재료 및 각각의 IC의 분석- 5 ~ 13▣회로의 ... 시계를 직접 제작해봄으로써 각각의 IC들의 기능을 익히며 수강과목의 이론을 실습한다.▣ 디지털시계 회로도 및 회로도 분석- 회로도조립하기 전에 제일 먼저 이해해야 하는 부분이 회로도 ... 디지털시계 제작에 있어서 제일 중요한 부분이기 때문에 회로도에 관한 내용을 요약해 보았다.
    리포트 | 49페이지 | 3,000원 | 등록일 2008.02.10
  • 무료표지
    REPROT집적회로설계- 다용도 디지털시계 -담당교수:과 목:이 름:작 성 일: 2012년 11월 20일 (화)
    표지/속지 | 1페이지 | 무료 | 등록일 2013.06.07
  • 디지털시계제작 프로젝트
    시계를 만드시오.1Hz의 입력과 전원 및 GND만 연결하여 동작하는 회로를 설계하여 제작한다.∴ 채점기준1. ... 오실레이터에서 나오는 고주파 신호를 1Hz로 만들고 이를 입력으로 사용한다.3. 1~12시 기준으로 시계의 숫자가 동작하도록 설계 한다.♨ 프로젝트 목적1) 디지털 논리 설계에 대한 ... logic circuit)는 현재의 입력 신호뿐만 아니라 일정 시간이 지난 후에 출력 신호의 일부가 입력으로 궤환(Feedback)되어 출력 신호에 영향을 주는 회로이다.실제로 디지털
    리포트 | 15페이지 | 5,000원 | 등록일 2008.09.19
  • 아두이노 초음파센서를 이용한 후방감지레이더
    회로도 구성에 관한 설명Digital SignalHC-SRO4·pinMode·digitalWriteArduino·Serial.begin·pinMode·delay·Serial.print ... 및 반시계방향으로 전환하게끔 해준다. ... 회로도전체회로도서브모터는 아두이노.USB케이블로부터 전압을 공급받아 펄스폭변조를 통하여 동작하는데 HIGH값과 LOW값을 빠르게 반복함으로써 서브모터를 제어하고 아두이노와 연결된 핀
    리포트 | 15페이지 | 2,500원 | 등록일 2018.11.08 | 수정일 2019.06.10
  • 논리게이트 실험보고서
    AND, OR, NOT, NAND, NOR, XOR(Exclusive OR) 등이 대표적인 논리 게이트이며, 이를 담은 IC(집적회로)가 디지털 IC이다.논리 게이트는 ‘Index ... 기준점으로부터 왼쪽 맨 위가 1번 핀으로 반시계방향으로 번호를 붙인다. N개의 핀을 가진 논리 게이트는 N번 핀이 Vcc이고, N/2번 핀이 GND이다. ... 실험기자재 및 부품4.1 사용기기- 오실로스코프- 디지털 멀티미터- 함수발생기- 전원공급기4.2 사용부품- TTL 논리 게이트5.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.03.05
  • 디지털시계
    디지털시계회로도, 카르노맵, 부울식 포함된 자료입니다.
    리포트 | 5페이지 | 5,000원 | 등록일 2010.11.07
  • 전자전기컴퓨터설계실험1(전전설1) (4) PSpice를 이용한 전기회로의 시뮬레이션
    , 18,000개의 Analog library와 2,000개의 Digital library를 갖추고 있다.이 실험에서는 PSpice의 사용방법을 익히고 실제 회로를 CAD로 모델링하여 ... 우선 loop A(12V-2Ω), loop B(2Ω-2Ω-2I0), loop C(2Ω-2I0)라고 하고 각 loop에 흐르는 전류를 Ia, I0, Ib라고 하자(전류의 방향은 시계방향으로 ... , 18,000개의 Analog library와 2,000개의 Digital library를 갖추고 있다.2.2.
    리포트 | 15페이지 | 2,000원 | 등록일 2019.08.06 | 수정일 2021.04.29
  • [9주차] DIGITAL CLOCK
    과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - Digital ... PurposeFPGA회로 설계 검증용 장비인 RoV-Lab을 이용하여, 기본적인 DIGITAL CLOCK을 구현한다. ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 마이크로프로세서 텀프로젝트(다기능 시계)
    마이크로프로세서 설계실험텀프로젝트 보고서(다기능 전자시계)기능내용 요약기본 시계기본 추가기능 없이 일상생활에서 볼 수 있는 시계기능알람 타이머설정한 시간에 소리가 울리는 알람 기능시간 ... 재설정원하는 시간을 설정하는 기능시계 속도 설정기본 시계와 알람 타이머의 시간 간격 속도를 조절하는 기능1. ... 이 DISPLAY_ON_7SEG는 7-Segment의 “a~dot”까지의 회로결선을 적절히 풀어서 값을 7-segment에 전달해주는 함수이다.
    리포트 | 33페이지 | 1,000원 | 등록일 2018.08.06
  • [예비레포트] Asynchronous Counter
    그러나 디지털시계와 같은 응용에서는 그다지 문제가 되지 않는다.비동기식 카운터는 첫 번째 플립플롭의 CP 입력에만 클록펄스가 입력되고, 각 플립플롭의 출력을 다음 플립플롭의 CP 입력으로 ... 관련 이론디지털 카운터는 클럭되는 방법에 따라 동기와 비동기로 구분된다. 동키 카운터는 일렬의 플립-플롭들이 동시에 클럭되도록 구성되어 있다. ... 기초 전자 회로 및 실험1. 실험 제목 : Chapter 19. Asynchronous Counter2. 실험 목적1. 비동기 업-카운터와 다운-카운터의 설계 및 분석2.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.04.18
  • 디지털시계
    디지털 시계 제작- 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram1. ... 설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 시스템 개요 24 시간의 시간을 나타내는 시계를 구성 D F/F 으로 구성된 동기식 카운터를 이용 시 , 분 , 초를 나타내는 각 7- 세그먼트를 두 개씩 이용하여 십의 자리수와 일의
    리포트 | 26페이지 | 2,000원 | 등록일 2010.11.19
  • 오실로스코프와 파형발생기 실험보고서
    그림에서 오실로스코프의 입력단은 돌출부에 맞추어 끼운 다음 시계방향으로 돌려서 고정시킨다. 메인 팁은 회로와 접촉하는 부분이다. ... 측정 신호는 ADC(Analog to Digital Converter)에 의하여 디지털 신호로 변환된다. 이렇게 변환된 신호를 바탕으로 파형과 진폭이 표시된다. ... 신호를 하나만 측정할 때는 주로 CH1을 사용한다.(2) 프로브의 반대쪽을 측정할 회로와 연결한다.(3) 자동 설정 버튼을 사용하여 측정 신호를 최적의환한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2019.06.22
  • (전자회로실험) 광전소자 결과 보고서
    보이는 빛의 ㅍ방출은 계기 표시기나 계산기 그리고 디지털 시계에 사용된다. ... 광결합기의 중요한 이점은 LED회로와 광다이오드 회로 사이의 전기적인 분리이다. 일반적으로 입력과 출력회로 사이의 저항이 10^10옴보다 더 크다. ... 그림 4-3(b)는 회로도이다. 양의 전압이 모든 양극에 인가된다.
    리포트 | 5페이지 | 1,800원 | 등록일 2019.09.08 | 수정일 2021.08.03
  • [기초전자회로실험2] "수동소자(RLC)를 사용한 수동필터(passive filter) 제작" 예비레포트
    Digital multi-meter4. ... ff로 막대기가 반시계방향 회전을 한다고 하자. ... 실험장비 및 부품실험기기오실로스코프1대파형발생기1대디지털멀티미터1대브레드보드1개회로부품저항1KΩ1개커패시터0.01㎌1개인덕터10mH1개Oscilloscope Function generator
    리포트 | 12페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2019.03.29
  • 디지털시계를 만든후
    TTL IC로 구현한 디지털시계완성된 디지털 시계회로에서는…타이머는 업 카운터 전용으로 한다. NE555를 사용하지않고 10MHz의 주파수 발진을 사용하였다. ... 카운터회로의 기본지식7447 IC를 이용한 7SEGMENT 구동회로 그림1은 숫자표시기(7Segment)를 드라이브하기 위한 회로이다. ... 위의 테스트 방법외에도 아날로그회로시험기의 저항테스트 레인지에서 점등실험이 가능하다.2. 전체회로도3. 패턴도5. 만드는 과정에서 완성까지~6.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.06.13
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 ... (On) 신호로 LED 가 켜집니다. 7세그먼트는 총 10개의 단자가 있으며, 상/하단 가운데 단자는 타입에 따라 전원의 +V(On) 또는 -V(Off)가 연결되고 나머지 단자는 디지털 ... segmentLEDsNum ; j++) {digitalWrite(segmentLEDs[j], digitForNum[i][j]);}// 1초 동안 대기합니다.delay(1000);}● 디지털
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 디지털 시계 설계서
    개발목적과 설계방향[개발목적] ‘디지털 공학’수업을 통해 쌓은 지식을 바탕으로 카운터를 이용해 설계할 수 있는 대표적인 순차 회로의 하나인 디지털시계를 설계 및 제작한다. ... 이를 통해 논리회로디지털공학에 대한 종합적인 내용이해와 응용능력을 키움으로써 디지털공학 및 전자, 논리회로 등에 대한 자신감을 더욱 고취시키는데 그 목적이 있다고 할 수 있겠다 ... 현황이처럼 디지털시계는 무한한 잠재성을 지니고 있으며, 시간에 설정된 시간에 자동으로 개폐되는 장치, 다중알람 시계 등으로 무한한 응용이 가능하다.하지만 다양한 생활용품의 디지털화가
    리포트 | 20페이지 | 1,500원 | 등록일 2009.08.20
  • ATmega128을 이용한 디지털 카운터 제작 프로젝트(소스 및 동영상 포함)
    시계 디지털 시계 작동 디지털 시계 소스 분석 보완점ATmega128 응용 동작 (1) 디지털시계 연결ATmega128 응용 동작ATmega128 응용 동작 (2) 디지털시계 작동 ... 시계 디지털 시계 작동 디지털 시계 소스 분석 보완점AVR 특징 • Advanced Virtual 구조의 마이크로컨트롤러 • 성능 , 저전력 8 비트 또는 32 비트 마이크로컨트롤러 ... 시계 디지털 시계 작동 디지털 시계 소스 분석 보완점ATmega128 원리 1) ATmega128 특징 (1) 향상된 RISC 구조 – 32 개의 8- 비트 범용 레지스터 및 상태
    리포트 | 29페이지 | 3,000원 | 등록일 2017.01.23
  • VHDL 디지털 시계 digital watch
    1Digital Watch1. 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2. ... 시계에서 MUX의 용도는 RUN과 SET를 구분하기 위해서다. ... [Schematic]VHDL을 통해 만들어 놓은 각 하위 디자인을 회로도 심볼 파일로 변경하여 설계한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:07 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대