• 통큰쿠폰이벤트-통합
  • 통합검색(7,336)
  • 리포트(6,527)
  • 시험자료(278)
  • 자기소개서(181)
  • 방송통신대(158)
  • 논문(146)
  • 서식(20)
  • ppt테마(17)
  • 이력서(9)

"logic" 검색결과 341-360 / 7,336건

  • 서강대학교 디지털논리회로실험 2주차 결과보고서
    실험목적1) TTL logic gates의 동작 방법을 익힌다.2) Logic level과 noise margins, 그리고 fanout에 대해 이해한다.3) Gates를 이용하여 ... 배경이론 및 실험방법Logic signal은 기본적으로 0(low)과 1(high)을 활용해 나타낸다. ... 기본적으로 AND, OR, NOT이라는 함수들이 존재하며 AND와 OR logic 출력에 inverter symbol를 추가한 형태로 각각 NAND, NOR gate를 나타낸다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.02
  • 전자기학실험 OR, AND, NOT 예비
    그림1과 같이 조합논리회로(combina-tional logic circuit)와 순서논리회로(sequential logic circuit)로 나눌 수 있다.조합논리회로(combina-tional ... logic circuit)는 출력이 현재 입력들의 조합에 의해서만 결정된다. ... 이론(원리)디지털 논리회로(digital logic circuit)는 0과 1을 나타내는 전기적 신호로 동작하는 전자 회로이다.
    리포트 | 10페이지 | 2,500원 | 등록일 2021.04.07
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    _1164.all;--libraryentity ud_and4 isport( in1, in2, in3, in4 : in std_logic;out1 : out std_logic);end ... _1164.all;entity ud_not1 isport(in1 : in std_logic;out1 : out std_logic);end ud_not1;architecture sample ... Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 Decoderud_and4.vhdlibrary ieee;use ieee.std_logic
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 연세대학교 기초디지털실험 5주차 예비레포트 (finite state machine)
    It is used to simulate sequential logic which delivers finite states. ... The combinational logic calculates the output and following state. ... As can be seen in pic 1, FSM is consist of combinational logics and state register.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • sr latch,D,T flip-flop 예비레포트
    PAL(Programmable Array Logic)을 저밀도(low density) PLD(Programmable Logic Devices)로 분류함에 비하여 고밀도 PLD로 분류된다 ... -SR latch래치(latch) 또는 플립플롭(flip-flop)은 1비트의 정보를 보관 또는 유지할 수 있는 회로이며 sequential logic의 기본요소이다. ... Computational logic과 다르게 이전 상태를 유지하여 저장할 수 있으며, 각종 카운터 회로, 레지스터, RAM 등을 구성하는 기본요소이며, 더 나아가 CPU를 구성하는
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    Pre-reportSequential Logic 1날짜 :학번 :이름 :1. Introduction가. ... 실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... with an always block, use blocking assignments.- When modeling both sequential and combo logic within
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 4 보고서
    Abstract Unlike a combinational logic circuit, a sequential logic circuit generates its outputs based ... The examples of a sequential logic circuit are designed using FSMs with Verilog HDL. ... state machine(FSM) is introduced in order to explain the operation of a certain kind of a sequential logic
    리포트 | 17페이지 | 3,000원 | 등록일 2020.08.18
  • 연세대 전기전자공학부 20-2학기 기초디지털실험 6주차 예비보고서
    CPU and logic core are implemented in one chip. ... ObjectivesThe goal of this experiment is learn ARM processor and carry out the basic logic. ... through this, we are going to understand the difference between processing system(PS) and programmable logic
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.15 | 수정일 2021.10.06
  • 한양대 디지털 IC 개요 및 조합논리회로
    작성한 K-Map을 통해 Logic Circuit을 AND, OR, NAND 등 GATE를 그린다.Logic Circuit을 통해 회로에 직접 설계해보며 입력 데이터를 각각 다르게
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 수 정렬회로 설계 결과보고서
    subtype my_logic is std_logic range ‘0’ to ‘z’ ;답 : 0, 1, z고찰정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 ... 다음과 같이 subtype을 정의했을 때 my_logic이 가질 수 있는 논리 값은 무엇인가? ... - 슬라이드 스위치에 두 개의 입력(a,b)- switch(ena)가 0일 경우7-seg에 두 입력 출력- switch가 1일 경우 정렬된 수 출력핀할당실행결과연습문제 5번STD_LOGIC
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    =1 전환, Logical L실행495ns111101101101100110Logical L 1회505ns111101101111001100Logical L 2회510ns011001101011001100Mode ... R 실행475ns111100101101110111Logical R 1회485ns111100101100110011Logical R 2회490ns011101101100110011dir ... Circular shift4-2) logical shift(논리 시프트)논리 shift이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 논리회로실험 A+예비보고서 1 Basic Gates
    실험 목적-기본적인 Logic gate인 and, or, not, nand, nor, xor게이트에 대하여 알 수 있다-위 Logic gate를 활용하여 Logic circuit을 ... -설계한 Logic circuit으로 Boolean equation과 De Morgan의 법칙에 대하여 알아볼 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 시립대 전전설2 A+ 3주차 예비레포트
    예상 결과1) 실습 1logic_gate2.vtb_logic_gate2.vSimulation2) 실습2xor_gate.vtb_xor_gate.vSimulation3) 실습 3four_bit_xor.vtb_four_bit_xor.vSimulation4 ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Behavioral modeling 방법론을 Data flow modeling과 ... - 위와 같이 선언되었을 때> A*B는 4’b1100> D/E는 1 (소수점은 버림)> A+B는 4’0111> B-A는 4’b0111> E**F는 167) Relational & Logical
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    /wiki/Programmable_logic_arrayHYPERLINK \l "본문3"[3] PAL(Programmable Array Logic), 그림 2- Hyperlink "https ... 이때, logic cell은 4-input LUT(Look Up Table)를 이용해 만들 수 있는 로직의 수라고 한다. ... Pre-Lab Report- Title: Lab#2 Schematic Design with Logic Gates담당 교수담당 조교실 험 일학 번이 름목 차1.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • VHDL코드를 이용한 4비트 감가산기 구현
    isport( a, b : in adder_range;sw : in std_logic;seg1, seg2 : out std_logic_vector(6 downto 0);sign : ... _1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use work.mydata.all;entity addsub ... out std_logic;sum : out result_range;underflow, overflow : out std_logic);end addsub;architecture design
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 연세대학교 기초디지털실험 1주차 결과레포트
    Research on theoryA digital logic circuit does operation with binary signals, 0 and 1. ... lecture is about understanding the fundamental theory of Verilog and then implementing and testing the logic ... Design Source Add & CreationAfter creating project in right path, we should make specific logic gate
    리포트 | 13페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2023.01.07
  • 기계과 레포트 - PLC
    PLC 구조PLC란, Programmable Logic Controller의 약자로 Logic을 Program할 수 있다는 뜻이다. ... PLC(Programmable Logic Controller) 정의1II. PLC(Programmable Logic Controller) 구성요소2A. CPU Module2B. ... PLC(Programmable Logic Controller) 작동원리3IV. PLC(Programmable Logic Controller) 응용4A. 유리산업의 PLC4B.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.05.29 | 수정일 2022.03.21
  • 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    LOGIC 0에 해당하고 1.66~3.33V가 Indeterminate region에 해당하며 3.33~5V가 LOGIC 1에 해당한다. ... CMOS 출력의 경우 LOGIC 0이 0~0.05V, LOGIC 1이 4.95~5V로 거의 0V, 5V에 가깝게 나오는 것을 파악할 수 있다.CMOS 전류의 경우 입력핀에는 HIGH ... TTL 출력의 경우 0~0.4V가 LOGIC 0에 해당하고 0.4~2.7V가 Indeterminate region, 2.7~5V가 LOGIC 1에 해당한다.TTL에서 전류의 경우 2.7V
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 아주대학교 논리회로실험 / 1번 실험 예비보고서
    Logic 회로 구성 법칙인 진리표, 불대수, Logic Diagram에 대해 이해한다.3. 드 모르간 (De Morgan) 법칙에 대해 이해한다.2. ... 이처럼 전기 신호를 논리값으로 인식하고 처리하는 전자회로를 논리회로라고 한다.논리값(Logic Value)Digital Logic에서는 물리량 대신 논리값을 사용한다.Low낮은 전압 ... 신호 (0 V), 논리 0으로 해석Logic Value = 0Physical Value = 0 VHigh높은 전압 신호 (3-5 V), 논리 1으로 해석Logic Value = 1Physical
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 한양대 Verilog HDL 3
    )의 assign, function문에는 blocking을 사용하고, 순차회로 (sequential logic)의 always문와 latch design에서 Non-blocking을 ... block 하는 역할이고, Non-blocking은 value
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대