• 통큰쿠폰이벤트-통합
  • 통합검색(4,417)
  • 리포트(3,614)
  • 시험자료(334)
  • 논문(251)
  • 자기소개서(64)
  • 방송통신대(64)
  • 표지/속지(43)
  • 서식(28)
  • ppt테마(13)
  • 이력서(4)
  • 노하우(2)

바로가기

bus 독후감 - bus 관련 독후감 1건 제공

"bus" 검색결과 301-320 / 4,417건

  • (A+) 독일 경제의 특징을 요약하고, 글로벌 경쟁력이 높은 독일 기업 중 하나를 선택하여 경쟁력의 원천이 무엇인지 분석하시오.
    독일 기업이 가진 경쟁력의 원천 사례 분석 - MAN Truck & Bus AG PAGEREF _Toc47903368 \h 63.1 MAN 트럭 & 버스(MAN Truck & Bus ... 독일 기업이 가진 경쟁력의 원천 사례 분석 - MAN Truck & Bus AG독일 상용차 제조사 혁신 사례인 ‘MAN 트럭 & 버스(MAN Truck & Bus AG)’사에 대하여 ... AG) 기업 개요 PAGEREF _Toc47903369 \h 63.2 MAN 트럭 & 버스(MAN Truck & Bus AG)의 핵심 사업 PAGEREF _Toc47903370 \
    방송통신대 | 7페이지 | 5,500원 | 등록일 2020.08.09
  • 시립대 전전설2 A+ 5주차 예비레포트
    SW1입력: A[2]: BUS SW2입력: A[1]: BUS SW3입력: A[0]: BUS SW43) 실습 3다음의 4:2 인코더를 case문을 사용한 Behavioral Modeling ... )을 사용하여 아래의 진리표를 가지는 논리회로를 간단히 assign문을 이용하여 설계하고, 테스트벤치 수행 후 장비 동작확인입력: a, b, c, d -> BUS SW 1, 2, 3 ... SW1, 2입력 B[1:0]: Bus SW7, 8입력 S(selector): Button SW1출력 Q[1:0]: LED1, 2Testbench의 stimulus는 100ns 간격으로
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 영어스피치 PPT (추천하는 도시, A+)
    When we take the bus, the driver welcomes us, And when we get off the bus, the bus driver says “Thank ... good thing about Bournemouth Bus is that the driver is very kind. ... You can buy a bus ticket cheaply and easily through a smartphone application.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.12.05 | 수정일 2022.01.02
  • 실리콘웍스 Digital 설계 최종합격 자소서
    - LG 계열사 실리콘웍스 최종합격 자소서- 20년 상반기 공채- 지원 직무 : 1지망 : Digital 설계- 영상/화질, MIPI , SoC/Bus등(DDI 설계자 우대)- Memory
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.02.16
  • 워킹데드 5화 5편
    , but the bus was exploded in the engine and the bus was upside down.Fortunately, They were all safe ... and they killed all zombies that gathered around them.As the bus exploded, all supplies were burnt.After ... Washington because he has an important mission to save world.Back to now, Abraham group is getting on the bus
    리포트 | 2페이지 | 1,000원 | 등록일 2022.07.14
  • 연세대학교 기초디지털실험 8주차 결과레포트
    bus. ... AXI is a multi-channel bus that is optimized for reading and writing. ... AXI can use register slices to design bus timing without lowering the operating frequency of the entire
    리포트 | 24페이지 | 5,000원 | 등록일 2022.04.18 | 수정일 2022.05.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / 파이널 계산기 / 2021년도(대면) / A+ (코드파일 포함)
    - input을 인가한 뒤, 덧셈을 수행하기 위해서 Sum(Bus SW 3)과 Result(Button SW F) 버튼을 인가해주면 계산 결과값이 LCD LINE2에 나타나게 된다 ... 이 때의 입출력은 모두 정수(양수, 음수, 0) 범위로 표현 가능하다.- input을 인가한 뒤, 뺄셈을 수행하기 위해서 Subtract(Bus SW 4)와 Result(Button ... - input을 인가한 뒤, 곱셈을 수행하기 위해서 Multiply(Bus SW 5)와 Result(Button SW F) 버튼을 인가해주면 계산 결과값이 LCD LINE2에
    리포트 | 25페이지 | 20,000원 | 등록일 2022.08.12 | 수정일 2022.08.18
  • 서강대학교 23년도 마이크로프로세서응용실험 3주차 Lab03 결과레포트 (A+자료)
    프로세서의 bus cycle 은 프로세서가 data bus를 통해 메모리 또는 주변장치에 액세스하는 이벤트를 나타낸다. bus cycle은 여러 단계로 구성된다. ... 그 후 data bus에 data를 배치하거나, data bus에서 data를 읽는다. 이 때 프로세서와 메모리는 정밀하게 timing을 맞추어 신호를 주고받아야 한다. ... 예를 들어, 프로세서가 bus에 data를 배치시킬 때, 메모리는 프로세서가 writns
    리포트 | 35페이지 | 1,000원 | 등록일 2024.09.02
  • 시립대 전전설2 A+ 3주차 예비레포트
    ) SW1 ~ DIP(BUS) SW4입력: B[3] ~ B[0] -> DIPP(BUS) SW5 ~ DIP(BUS) SW8출력: Y[3] ~ Y[0] -> LED1 ~ LED4장비에서 ... ;// a 8-bit bus3) reg 자료형절차적 할당문에 의해 값을 받는 객체 -> 할당 사이의 값을 유지 -> always 구문 안에서 사용하드웨어 레지스터, 플립플롭, 래치 ... 프리미티브 등과 같은 구동자(driver)의 값에 의해 net의 값이 연속적으로 유지된다.선언의 예- wire W1, W2;//declares two wires- wire [7:0] Bus
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED 1, 2=> module=> verilog text=> simulation ... => 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch 1, 2출력 Q : ... 로직 설계② 2입력 멀티플렉서 Test Fixture 파일 생성③ 2입력 멀티플렉서 시뮬레이션 결과 확인응용 과제(총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력 A : BUS
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 컴퓨터구조 발표자료(버스 중재 방식)
    버스 중재기 (bus arbiter) 버스를 중재하는 하드웨어 모듈 중재 버스 (arbitration bus) 버스 중재를 위한 신호 선들의 집합 버스 요구 (bus request) ... 신호 : 버스 마스터가 버스 사용을 요구했음을 알리는 신호 버스 승인 (bus grant) 신호 : 버스 사용을 요구한 마스터에게 사용을 허가하는 신호 버스 사용중 (bus busy ... A 병렬 중재 방식 B 직렬 중재 방식 C D 목차 CONTENTS 폴링 방식MENU PART 01 버스 중재컴퓨터구조론 07_ 시스템 버스 , I/O 및 인터럽트 버스 경합 (bus
    리포트 | 29페이지 | 2,500원 | 등록일 2022.09.09
  • KLA 경력직 이직 최종합격 자소서
    모두 이상이 없었고 마지막으로 Terminal Bus에 문제가 있나 살펴보던 중에 Terminal Bus와 Panel에붙어있는 와셔를 제거하였고 ADIO는 정상 작동하였습니다.문제해결을
    자기소개서 | 2페이지 | 6,000원 | 등록일 2021.05.20 | 수정일 2021.11.17
  • 수동태 문제
    a bus yesterday.③ The man was run by a bus yesterday.④ The man was run over a bus yesterday.⑤ The man ... 다음 우리말을 영어로 바르게 옮긴 것을 고르시오.그 남자는 어제 버스에 치였다.① The man ran over a bus yesterday.② The man ran over by ... was run over by a bus yesterday.11. ( )안에 주어진 단어를 알맞은 형태로 고쳐 쓰시오.The magazine by many teenagers in the
    리포트 | 4페이지 | 4,000원 | 등록일 2022.01.27
  • 서강대학교 23년도 마이크로프로세서응용실험 11주차 Lab011 결과레포트 (A+자료)
    DMA는 CPU가 같은 주소를 targeting할때, CPU의 bus access를 stop시키는 request를 한다. bus matrix는 round-robin 스케쥴링을 수행하기에 ... DMA functional descriptionDMAC는 system bus를 CPU core와 공유한다. ... 이때 DMAC도 같은 address bus, data bud를 사용하기에, 이를 조정하는 arbiter가 필요하다.
    리포트 | 24페이지 | 2,000원 | 등록일 2024.03.24
  • 대중교통
    public transportationIn dictionary, It is a transportation that many people use such as bus, subway and ... 우선 지출을 줄일수 있다.if you use bus or subway, you can go to your destination with low fare.만약 당신이 버스나 지하철을 ... 이용한다면 당신은 적은 요금으로 목적지까지 갈수가 있다.futhermore, when you transfer between bus and subway or between buses,
    리포트 | 2페이지 | 2,000원 | 등록일 2023.04.25
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    스위치4Bus 스위치3Bus 스위치2Bus 스위치1b0b1b2b3핀 설정값P42P43P39P40실제 핀Bus 스위치8Bus 스위치7Bus 스위치6Bus 스위치5out0out1out2out3핀 ... A=0011를 bus sw를 통해 입력할 때, 하위자리인 a[0]을 bus sw4로 설정하고 상위자리인 a[3]을 bus sw1로 설정하여 한눈에 파악할 수 있게끔 설정하였다. ... 설정값P199P197P194P190실제 핀LED 12LED 11LED 10LED 9입력 a, b이고 콤보 박스에서는 bus sw1~sw8을 통해 값을 입력한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 대중교통 환승의 천국 달라스
    Local Bus 지역 , 시외근교 , 시내횡단 노선 Rail Feeder Transit Center Bus 역 - 인근지역 Express Bus 시외교통센터 - 달라스 다운타운 ... 번째로 큰 도시DART(Dallas Area Rail Transit) 안전하고 효율적인 환승시스템 유동성을 제공하고 삶의 질 향상 , 경제 개발에 기여 DART Rail DART Bus ... Vanpool DART Paratransit 등DART Rail Red Line Blue Line Green Line Orange Line DCTA-A-train TREDART Bus
    리포트 | 24페이지 | 1,000원 | 등록일 2019.12.31
  • 마이크로컴퓨터 레포트(7)
    .· 디스크 드라이브와 ISA bus 사이의 표준 인터페이스장치이다.● RAMBUS· 16bit의 병렬 bus이다.· 처리 속도가 빠르다.· 가격이 비싸다.· 최대 대역폭이 1.6G ... 20Gb/s· 3m ~ 100m 길이다.● Inter-IC BUS (I2C)· PCB를 사용. ... Output UnitExternal Data BusOutside of ComputerInside of ComputerInternal BusControl BusData BusAddress Bus16
    리포트 | 10페이지 | 3,000원 | 등록일 2021.05.16
  • [코드 복사가능, 학점A+] 전전설2 10.Term Project - 예비+결과+발표자료+성적인증 (서울시립대)
    따라서 올바른 위치인 Bus SW에서 값을 받아오도록 수정하였고, Bus SW를 이용해 고정된 시간 값을 출력하도록 enable할 수 있었다. 해결한 결과는 다음과 같다. ... Bus SW를 이용해 시간 조절 모드 선택3. 조정 버튼을 누를 때마다 숫자가 up count.4.
    리포트 | 8페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.09
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    SW1~4), B(Bus SW5~8) / A>B는 AGB(LED1), A=B는 EQ(LED2), A ... SW1~4), B(Bus SW5~8) / 합은 S(LED2~5) / 올림수는 C(LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111b. ... SW1~4), B(Bus SW5~8) / 합은 S(LED2~5) / 올림수는 C(LED1)A[3:0]B[3:0]S[3:0]C11100011000110111110000111(4) [
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대