• 통큰쿠폰이벤트-통합
  • 통합검색(4,093)
  • 리포트(3,358)
  • 시험자료(423)
  • 방송통신대(242)
  • 자기소개서(55)
  • 서식(7)
  • 논문(6)
  • ppt테마(1)
  • 노하우(1)

"논리연산자" 검색결과 281-300 / 4,093건

  • 디지털공학 레포트 모음
    가중치가 없으나 자보수의 성질을 가지며, 4개의 bit가 0이 되는 경우가 없다.3) 그레이코드->그레이코드는 Analog, Digital 변환에 사용되며 가중치는 없고 연산에 부적당하다 ... ) 산술연산 기능 - 4칙 연산2) 기억 기능 - 현재의 논리값 유지3) 비교 기능 - 두 개의 양을 비교하여 대소를 판별4) 인코딩 기능 - 인간의 사용언어를 디지털 전자회로의 언어로 ... _{1}}D0={A _{0}} {A _{1}}-논리회로도는 AND와 NOT게이트들을 사용하여 4X2 line Decoder에 관한 논리 회로다.
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • 사례를 읽고 각 산업의 매력도를 정리하고 5forces를 분석
    , 제어, 가공 등으로 처리하는 시스템(system)으로서 기능할 수 있는 반도체이기 때문이다.이처럼 “논리연산(Logic computing)” 기능을 수행하기 위한 목적인 비메모리 ... 수 있듯, 데이터를 저장하는 종류의 반도체를 의미한다.한편 로직형 반도체 또는 비메모리형 반도체는 시스템 반도체라고도 하는데, 이는 정보를 단순히 저장만 할 뿐만 아니라 정보를 연산 ... 탑재할 필요가 있기 때문이다.특히 4차 산업 혁명은 “초지능(Hyper-intelligence)”이라는 특징으로 요약되기도 하는 만큼, 이처럼 다양한 디지털 디바이스들이 스스로 연산
    리포트 | 3페이지 | 2,000원 | 등록일 2023.09.04
  • (Jelly) A+ 기초전기전자실험 PLC 제어
    기능을 추가하여 프로그램 제어가 가능하도록 한 자율성이 높은 제어 장치이다.PLC란 논리연산, 순서조작, 시한 계수 및 산술 연산 등의 제어 동작을 실행시키기 위해 제어 순서를 일련의 ... 이를 통해 글자의 굵기를 조절한다.엘리베이터 ? ... ·프로그램의작성과 유지, 보수관리가 쉽고 판독이 용이·보기가 편하고 오류를 찾기가 쉬움·C언어와비슷하여 PC소프트웨어 기술자들도 쉽게 다룸단점·정교한 작업을 하기에는 다른 언어보다
    리포트 | 10페이지 | 2,500원 | 등록일 2020.04.08 | 수정일 2020.05.27
  • 영유아 수학교육 내용에 대한 개념과 사례를 들어 설명하지오
    영유아의 수학교육 중요성이 인식됨에 따라 과학자, 교육자, 부모들의 관심이 증가되고 있다. ... 이러한 개념은 수와 연산, 도형과 공간, 측정, 규칙성, 자료분석으로 나누어진다.1. 수와 연산수를 사용하며 이해하는 것은 수학교육의 가장 기본이 되는 부분이다. ... 서 론수학이란 수, 양과 공간의 구조 및 변화와 상징 조작의 논리와 그 변화에 대한 개념적 지식과 과정적 지식을 연구하는 학문이다.
    리포트 | 3페이지 | 2,500원 | 등록일 2021.03.10
  • 실험보고서 양식
    개개의 명령어는 연산의 종류를 표시하는 명령(operator)부분과 연산을 하는 입출력 번호를 표시하는 피연산자(operand)로 나누어진다.그림 1 래더 방식 예 그림 2 니모닉 ... 니모닉방식에서는 제어내용을 논리에 치중한 명령어로 표시하기 위하여 명령어의 순서 자체가 PLC에 대한 처리순서를 표시하는 셈이 되므로 제어내용의 논리에 따라 순서대로 명령어로 변환할 ... 수치 연산의 기능이 있는 PLC에서는 수치연산 부분을 블록으로 구성하여 표시한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.01.04
  • 전산직 정보보호론 핵심정리 Part 1
    C언어 연산자 우선순위괄호 내 연산자>산술>쉬프트>관계>비트논리>논리>대입연산자8. Null포인터 구조 : 단순연결리스트, 이중연결리스트, 단순역방향연결리스트9. ... 스키마-외부스키마(=서브스키마):논리적 사용자관점-내부스키마:물리적 저장장치 관점-개념스키마:논리적 범기관적 관점10. ... 세마포어 알고리즘은 P연산과 V연산을 사용한다. 바쁜대기를 해결할 수 있다. 세마포어는 소유할 수 없다.37.
    시험자료 | 4페이지 | 3,000원 | 등록일 2020.10.21
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    비트 단위 연산자Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 XOR Gate의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값이 00 ... 비트단위 연산자 사용Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 4-bit 데이터 XOR 게이트의 동작을 확인하는 모습- 실험 결과: 입력은 ... Conclusion- Verilog HDL 언어를 비트 단위 연산자를 이용하는 방법, Gate Primitive를 사용하는 방법, Behavioral modeling을 이용한 방법(
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 성대 반시공 합격 자소서 (공통문항+대학 자체 문항, 2022 입시) (포스텍, 지스트, 유니스트, 디지스트, 고대 신소재, 성대 반시공 합격자)
    유도는 복잡한 식을 간단히 표현하는 것과 미분 연산자와 같은 생소한 수학 기호, 적절한 식의 대입에 의해 막히기도 했지만 슈뢰딩거의 유도 과정을 참고해 마무리했습니다. ... 수학은 세상을 가장 논리적으로 표현합니다. 학습 중 추상적 개념을 수식적 학습으로 논리화했습니다. ... 하지만 순환 논리의 역설에 빠졌고, 고전역학적 언어로 양자역학을 접근하는 것은 불가피하다는 결론이 최선이었습니다.일련의 노력에도 이해할 수 없는 것이 많았습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2022.01.05 | 수정일 2022.11.24
  • C프로그래밍 시험 족보
    적용할 수 없다③수식에 적용할 수 없다2) 대입 연산자-대입 연산자 : 변수에 수식의 값을 계산하여 저 장하는 연산자3) 관계 연산연산의미연산의미x==yx와 y가 같은가? ... 수식과 연산자1) 연산자증감 연산자차이점++x증가한 x값으로 계산x++계산 후 x값 증가--x감소한 y값으로 계산x--계산 후 y값 감소-특징①괄호가 있어도 x++는 맨 나중에 실행②상수에 ... 유지보수3) 프로그램 오류①컴파일 오류 : 문법에 오류가 발생하는 것②실행시간 오류 : 컴파일은 성공적이나 실행 시 간에 오류가 발생하는 것③논리 오류 : 문법은 틀리지 않았으나 논리적으
    시험자료 | 3페이지 | 3,500원 | 등록일 2021.02.19
  • (A+/이론/예상결과/고찰) 아주대 전자회로실험 결과보고서4
    연산증폭기를 이용한 정궤환 회로의 응답을 확인하는 실험이었다. 미리 설계된 IC 칩으로 실험을 수행했던 논리회로실험에서 수행한 슈미트 트리거 실험과는 목적이 달랐다. ... 연속적인 전압으로 인해 생기는 판정의 불확실성을 제거하기 위해 슈미트 트리거를 사용하고 그 응답특성을 확인했던 논리회로실험과 달리, 어째서 이런 응답이 나오는지 연산증폭기와 정궤환 ... 지위 남용 배제: 실존하거나 예기되는 이해 상충을 가능한 한 피하며, 실제로 이해가 상충할 때에는 이를 이해 관련 당사자에게 알린다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.10.24
  • NCS직업기초 4장 과제
    논리적 순위를 의미하지 않음8. 확률실험에서 사상이란 무엇인가?? ... 최초 콘텐츠 생산자에게 더 많은 보상이 이뤄지는 시스템-꽃잎 수가 피보나치수열인 식물: 백합, 아이리스, 장미 등6. 검산방법 중에서 구거법의 원리와 장단점을 설명하여라.? ... 통해결론을 추출하고논리적으로 검증하는 기능불확실한 상황 하에서의의사결정 보조 수단3.
    리포트 | 5페이지 | 2,500원 | 등록일 2019.10.02
  • 2021년 디지털공학개론_논리 기호의 해석 방법과 5가지 Standard 논리게이트 심볼의 대치 논리 게이트 심볼을 그리시고 표준기호로부터 대치기호를 구하는 방법을 설명하시오. (1)
    AND는 OR로, OR은 AND로 연산기호를 바꾼다. INV는 특수한 경우로 바꾸지 않는다. ... 논리회로도를 이와 같은 규칙을 사용하여 그리면 기술자나 공학도는 회로를 분석하여 통과하는 신호를 구하고 출력을 동작하는 데 필요한 입력조건을 더 쉽게 구할 수 있다. ... 논리게이트 심볼의 대치 논리 게이트 심볼 그리고 표준기호로부터 대치기호를 구하는 방법을 설명.Standard 논리게이트 심볼 대치 논리 게이트 심볼대부분의 회로에서는 표준논리기호(
    리포트 | 4페이지 | 3,000원 | 등록일 2021.11.24
  • (인터넷과 정보화사회) 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오
    그만큼 컴퓨터에서 중요한 역할을 수행하는 장치이다.중앙처리장치는 명령어를 저장하는 역할을 하는 프로세서 레지스터, 비교와 판단, 연산을 담당하는 산술논리연산장치(ALU), 명령어를 ... 소비자들이 많이 사용하는 중앙처리장치를 제조하는 업체에는 인텔과 라이젠이 있다. ... 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. (1000자 내외, 10점)2.
    방송통신대 | 4페이지 | 2,000원 | 등록일 2023.02.17 | 수정일 2023.02.19
  • 피아제의 인지발달이론과 비고츠키의 사회문화적 인지이론에 대한 기본 개념을 설명하고, 각 이론을 교육현장에서 어떻게 적용할 수 있는지 사례를 들어 논하시오.
    예를 들어, 구체적 연산 단계에 있는 학생들은 추상적인 개념에 대한 이해도가 높아지기 때문에, 이들에게는 추상적인 개념과 관련된 문제를 제시하여 논리적인 사고와 추론 능력을 향상시키는 ... 단계, 구체적 연산 단계, 형식 연산 단계로 구성된다. ... 이 중 구체적 연산 단계는 아이들이 추상적인 사고를 할 수 있는 단계로, 이 단계에서 아이들은 추상적인 개념과 관계를 이해하고, 추론하는 능력을 발달시킨다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.06
  • 심리학개론 아동,청소년의 인지발달에 있어 부모의 역할이 중요한 이유
    직관적 사고를 넘어 구체적 사건에 대해 논리적으로 사고하려고 하는 시기이다. 구체적 유추를 이해하며 수리적 연산을 수행할 수 있게 된다. ... 논리적 추리보다는 직관을 사용한 인지가 이루어지며 자기중심적 사고를 하는 단계이기도 하다. ... 임신 첫 주에 시작된 세포분열은 100개 정도의 세포로 구성된 접합자를 만들어 내고 2주차에는 배아가 형성되기 시작한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.08.09
  • 인공지능 기술의 현 주소
    논리연산가는 38개의 수학 정리를 증명해 보였다. 논리연산가는 이후 AI 연구에 영향을 주는 주요 개념들을 제시해 주었다. ... 메카시는 최초로 인공지능을 기계를 인간 행동의 지식에서와 같이 행동하게는 것이라고 정의하였다.2) 인공지능 핵심 기술의 역사1956년 AI 컨퍼런스에서 최초의 인공지능 프로그램인 논리연산가 ... 지보는 인격을 가지고 사용자와 상호작용하며 농담까지도 주고 받을 수 있다고 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.03.24 | 수정일 2021.11.19
  • 정익사 데이터베이스 시스템 연습문제 3장
    런타임 데이터베이스 처리기는 실행 시간에 데이터베이스 접근을 관리하며, 데이터베이스 연산을 저장 데이터 관리자를 통해 디스크에 저장된 데이터베이스에 실행시킨다. ... 데이터의 독립성을 제공해준다.3.7 데이터 조작어를 수행하는 데이터베이스 연산을 설명하라.데이터 조작어란 사용자가 DBMS로 하여금 원하는 데이터를 처리하게끔 명세하는 도구로서 사용자와 ... 데이터를 원하는지만 명세하고 그것을 어떻게 접근하여 처리할 것인가에 대해서는 명세할 필요 없이 데이터베이스 관리 시스템에 위임하는 고급 데이터 언어이다.3.8 데이터 제어어에 포함되는 연산들을
    리포트 | 4페이지 | 1,000원 | 등록일 2020.06.22
  • (인터넷과 정보사회) 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술
    이 장치는 산술연산논리연산, 관계연산 및 이동을 수행한다. 해당 장치는 가산기와 누산기, 보수기, 데이터 및 시프트 레지스터, 오버플로 검출기 등으로 구성된다. ... 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. (1000자 내외, 10점)2. ... : 연산장치는 제어장치의 명령에 따르면서 연산을 실제로 수행하는 장치라고 할 수 있다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2023.03.18
  • [A+] 방송통신대학교 컴퓨터과학과 자료구조 기말과제
    :자료구조는 자료의 추상화를 통해 자료의 논리적 관계를 구조화한 것이다. ... 명세한 것이다. push라는 연산자와 pop이란 연산자가 같이 정의된 것이다. push(add)연산자는 스택에 원소를 하나 삽입하며 top포인터를 하나 증가시킨다. pop(delete ... ) 연산자는 스택에 원소를 하나 삭제하며 top포인터를 하나 감소시킨다.
    방송통신대 | 7페이지 | 5,000원 | 등록일 2021.09.08
  • 인터넷과정보사회 ) 컴퓨터를 구성하는 입력, 출력, 처리, 기억, 보조기억 장치의 역할을 각각 서술하고 각 장치에 포함되는 대표 기기 1개를 선택하여 기능을 구체적으로 설명하시오.
    연산장치는 단순한 산술적인 연산부터 논리적인 연산까지 맡아서 처리하며, 제어장치가 전달한 신호에 따라서 수행한다. ... 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. (1000자 내외)2. ... 컴파일러와 인터프리터의 역할과 두 방식의 기능적 차이점을 구체적으로 서술하시오. (1000자 내외)2.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2022.07.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대