• 통큰쿠폰이벤트-통합
  • 통합검색(368)
  • 리포트(339)
  • 시험자료(13)
  • 자기소개서(6)
  • ppt테마(5)
  • 논문(4)
  • 서식(1)

"Scale out" 검색결과 261-280 / 368건

  • 시스템으로서의 LCD모니터
    또, Scaling을 통해 해상도를 재조정하는 역할과 밝기, 대비, 감마 등을 조정하는 영상 처리의 역할을 한다. ... (좌) 실제 VGA 커넥터 (우) VGA 커넥터의 pin out(male)위의 그림 11. 은 실제 VGA 커넥터의 모양과 핀 마다 번호가 할당된 VGA 커넥터를 나타내고 있다. ... 또, 그래픽카드로부터의 이미지를 LCD의 해상도에 맞추어 Scaling해준다. Power board는 AD board와 LCD module에 직류 전원을 공급한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2010.08.17
  • 오실로스코프예비
    SCALE ILLUM :눈금의밝기를 조절하며 ,어두운곳에서 관측할때나 화면의 사진촬영을 할 때 사용 합니다< 수직증폭부 >9. ... 동기시킵니다 소인시간을 늘려서 고주파신호나 불규칙한신호 또는 디지틸신호등의 복잡한 신호를 동기시키는데유효 합니다.30.TRIG LEVEL: 동기신호의 시작점을 선택합니다32.READ OUT ... REF커서와 TRACKING 커서를 변환 시킵니다DV.DT,I/DT: 이 스위치는 DV.DT,I/DT의 모드를 전환시킵니다.ON/OFF: DV와 SELECT를 동시에 누르면 READ OUT기능이
    리포트 | 7페이지 | 1,000원 | 등록일 2011.04.13
  • 판형 열교환기 설계 (가스켓형)
    Out = 45 ℃Ⅲ . ... 가스켓형 선정 이유 ③ 낮은 오염도 유로에 형성된 돌기에 의해 형성된 강한 난류 및 , 유체의 짧은 열교환기 내부 체류시간은 Scale 부착 방지효과를 얻을 수 있으며 , 분해 조립이
    리포트 | 44페이지 | 3,000원 | 등록일 2012.12.19 | 수정일 2022.02.14
  • 개방형혁신의 비즈니스모델
    its potentially powerful value position, Metaphor was not one of the great commercial successes spun out ... Printing(1971) Ethernet(1973) Personal Workstation(1973) Graphical User Interface(GUI)(1975) Very-Large-Scale-Integration
    리포트 | 28페이지 | 1,500원 | 등록일 2010.11.23 | 수정일 2015.04.08
  • 전통적 고과방법
    그러나 행동견본을 선정하기 어렵고, 각 항목의 점수화 절차가 복잡하며, 평가항목의 배열순서가 힘들다는 단점이 있다.4) 기록법 (filling-out method)종업원 근무성적의 ... , 미, 양, 가와 같은 평어에 따라 고과한다.소속:성명:요소평가A(수)B(우)C(미)D(양)E(가)지도력기획력판단력합계점고과자(2) 도표식 평정척도법(graphic rating Scales
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.01
  • [기초회로실험] 6주차 실험 25, 26, 31, 33장 예비보고서입니다.
    사용된다.SINGLE(PUSH TO RESET) : RESET 스위치와 단소인(single sweep)스위치로 사용된다. 3개의 버튼(AUTO, NORM, SINGLE)이 pull out된 ... 브라운관의 관 면상에 SCALE 1 DIV당 전압치로 눈금이 그려져 있다.11) VARIABLE PULL ×5 MAG : CH1의 수직감쇄기 미조정 스위치 VARIABLE KNOB를 ... .◈ 오실로스코프의 기초개념[그림 ] 전면 PANNEL6) SCALE ILLUM : 눈금의pass short된다.9) CH1 or X : CH1의 수직축 입력단자 X-Y 동작시는 X축
    리포트 | 16페이지 | 1,000원 | 등록일 2010.12.05
  • VHDL을 이용한 UART설계
    sync_cnt + 1; -- don't want to sample until we are in the middle of the next bit if sync_cnt = CLK_SCALE ... clk, read) variable bit_cnt : integer range 0 to MAXCOUNT; variable sync_cnt : integer range 0 to CLK_SCALE ... std_logic; rx_pdata : out std_logic_vector(7 downto 0); tx_pdata : in std_logic_vector(7 downto 0);
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • XD-BLADE 메뉴얼 용어
    출력 파라미터-Hub wind Speed-Weibull Scale Factor3.? ... 파라미터-Measured wind Speed-Measuring Height-Wind Shear Exponent-Weibull Shape Factor-Cut-in Wind Speed-Cut-out
    시험자료 | 2페이지 | 3,500원 | 등록일 2010.04.23 | 수정일 2021.04.07
  • Species Diversity (종의 다양성) 영문
    Variability in Stream Macroinvertebrates at Multiple Spatial Scales. ... The Shannon Index came out as 1.37 for riffle community and 1.73 for pool community. ... The Shannon Index came out as 1.37 for the riffle community and 1.73 for the pool community.
    리포트 | 8페이지 | 1,000원 | 등록일 2008.06.12
  • NCUBATOR
    또 입력신호는 Parameter "RH", "RL", "SDP", "SH", "SH", "SL"로 Scaling 및소수점이하 자리수의 변경을 할 수 있다. ... RUN LED소등- 기록계 기록 정지 상태점등- 기록계 기록 동작 중점멸- Digital Memo나 List out 준비중이거나 인쇄 중? ... AL 1...6 LEDAlarm output(Alarm out relay) 1, 2, 3, 4, 5, 6의 출력상태를 표시하는 LED(LED가 점등되면 해당하는 Alarm출력이 ON이
    리포트 | 9페이지 | 1,500원 | 등록일 2010.09.28
  • 시스템특성 고찰 및 시간 주파수 도메인 측정
    Set Y Scale to Linear mode.- Click Run and draw Bode plots.- Measure the values of R, L, C, and compute ... .- Connect the point 1 to FUNC OUT and connect the point 4 to AIGND(ground)- Connect the point 1 to ACH1
    리포트 | 21페이지 | 2,000원 | 등록일 2010.12.28
  • 오실로 스코프, 함수 발생기 사용법
    필요할 시 사이드 메뉴의 맨 아래 단추(-more-x out of 6로 표시)를 눌러서 원하는 측정이 사이드 메뉴에 나타날 때까지 측정방법 들을 검토한다. 4개의 사이드 메뉴 단추 ... 대역폭선택 : 주메뉴 단추 Bandwidth를 누르고 사이드 메뉴를 이용하여 20MHz 와 최대 대역폭 사이에서 대역폭을 선택한다.■ 정밀 V/div 조정 : 주메뉴 단추 Fine Scale을 ... 한다.수직시스템 기능을 액세스하려면 VERTICAL MENU 단추를 누른다.수직메뉴가 다음과 같이 화면 하단부에 뜬다.coupling DCInvert OffBandwidth FullFine Scale20mVPosition20mdivOffsetOV
    리포트 | 5페이지 | 1,000원 | 등록일 2009.03.24
  • 오실로스코프의 사용법
    나타내는 휘선(밝게 빛나는 선)발생INTENSITY : 화면의 밝기 조정.FOCUS : 초점조성.TRACE ROTATE : 화면의 수평이 지자기의 영향 등으로 경사가 지는 것을 교정.SCALE ... 전압조정.AUTO : 입력신호의 중간부분을 TRIGGER LEVEL로 자동조정.+ : TRIGGER LEVEL 의 극성 반전 (속은 LEVEL 손잡이의 push ln. pull out으로
    리포트 | 4페이지 | 1,000원 | 등록일 2009.09.14
  • 지열 히트펌를 이용한 냉난방설계
    - Can be Copy-Pasted out of Templates for use anywhere! ... Backdrop Slide Backdrop Transitional Backdrop Print Backdrop www.animationfactory.com Additional Graphics: Scale
    리포트 | 27페이지 | 2,500원 | 등록일 2012.05.05
  • 교환학생 혹은 어학연수시 한국 소개
    .  ‘Hangeul’ The Japanese Occupation Period (1910 – 15. 8. 1945) Korean war broke out(1950 - 1953), ... ar finished.~ 2007 Republic of Korea 1960 – 1980 “Miracle of Han River” 1988 Seoul Olympic : Korea Scaled
    리포트 | 7페이지 | 2,000원 | 등록일 2008.01.17
  • 취업조_프레젠테이션_최종본
    통권 제 144 호 (2008 년 10 월 ), 쪽 .55 최진남 ․ 성신영 ,『 문국현은 어떻게 유한킴벌리를 재창조했, 2006.7.24, http://www.scout.co.kr ... 원칙적으로 기업과 사회의 목적을 위해 재투자 하는 사회적 목적 을 지닌 기업 활동으로 정의 영국 내각부 제 3 섹터처 ,『 Social Enterprise Action Plan - Scaling
    리포트 | 31페이지 | 1,000원 | 등록일 2011.05.20
  • Filter 설계(C언어)와 음성신호에의 적용 및 Gold wave를 통한 결과 확인
    Scaling Factor 가 1이 됨으로써 나중에 Convolution 할 때, Signal 의 Loss를 막을 수 있기 때문이다.1. ... ;long hz=8000, time=8;long size=hz*time; //data sizein=(short*)malloc(sizeof(short)*size);out=(short* ... = convolution(in);// processingfwrite(out, sizeof(short), size, ofp); // data write//---------------
    리포트 | 16페이지 | 3,000원 | 등록일 2006.12.30
  • 치아미백(Dental Bleaching)
    Block out Resin으로 치은을 격리시킨다. (block out을 하는 동안에 미백겔을 뜨거운 물(60°C)에 담궈둔다.-미백겔의 활성화가 더 빨리 된다고 함) ... 치 아 미 백치아미백 : 변색된 치아의 상아질과 법랑질의 색을 밝게 하는 절차로 칫솔질이나 Scaling으로 해결되지 않는 치아의 색을 원래의 색조로 회복시키거나 더 희게 하는 치료다
    리포트 | 2페이지 | 1,000원 | 등록일 2007.08.14
  • 22.Oscilloscope의 기본원리와 측정
    SCALE ILLUM : 눈금의 밝기를 조절, 어두운 곳에서 관측할 때 화면의 사진촬영을 할 때 사용.* 수직증폭부 *9. ... D 커서, REF커서, TRACKING 커서를 변환DV.DT,I/DT: DV.DT,I/DT의 모드를 전환ON/OFF : DV와 SELECT를 동시에 누르면 READ OUT기능이 TOGGLE
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.17
  • 블레이드설계_XD-BLADE 메뉴얼 정리
    출력 파라미터-Hub wind Speed :허브 높이에서의 계산해서 나온 풍속-Weibull Scale Factor :스케일 계수3.? ... Exponent :지표 계수 (풍속 구배 계산을 위해 지면 상태를 고려한 계수)-Weibull Shape Factor :형상 계수-Cut-in Wind Speed :기동 풍속-Cut-out
    시험자료 | 4페이지 | 9,500원 | 등록일 2010.04.23 | 수정일 2023.04.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대