• 통큰쿠폰이벤트-통합
  • 통합검색(56,292)
  • 리포트(50,487)
  • 시험자료(2,371)
  • ppt테마(1,210)
  • 방송통신대(922)
  • 자기소개서(675)
  • 서식(313)
  • 논문(228)
  • 이력서(74)
  • 노하우(11)
  • 표지/속지(1)

"D.I.C" 검색결과 201-220 / 56,292건

  • 방송통신대학교 통계데이터과학과 R컴퓨팅 출석(대체)과제
    ,ifelse(x>=60,"D","F"))))grade결과> grade[1] "C" "D" "A" "C" "B" "B" "D" "C" "B" "A" "C" "F"[3-4번]x와 grade를 ... "D"[3,] "93" "A"[4,] "72" "C"[5,] "81" "B"[6,] "83" "B"[7,] "66" "D"[8,] "75" "C"[9,] "80" "B"[10,] ... "95" "A"[11,] "79" "C"[12,] "56" "F"[4-1번]for 반복문을 1회 이용하여 문제를 해결하였다.코드for(i in 1:5) print(rep(i,2*i-
    방송통신대 | 6페이지 | 4,000원 | 등록일 2022.03.14
  • 사기업 면접가이드-한/영 자기소개, 직무 면접, 인성 면접 대비 완료!
    Today, I'd like to promise you two things instead of introducing myself. ... Hello, my name is (name) applying for (company name)'s new employee recruiting this year, to become
    자기소개서 | 11페이지 | 3,000원 | 등록일 2021.07.26
  • 방송통신대 알고리즘 출석수업 과제
    점화식은 다음과 같다.Mi×M2×M3×M4×…… 일 때 M1의 원소의 갯수는 d0×d1, M2의 원소의 갯수는 d1×d2, ……C(i, j) = Mi×Mi+1× ×Mj-1×Mj 의 ... 이때 발생하는 비용에는 삽입 비용(delta _{I}), 삭제 비용(delta _{D},) 변경 비용(delta _{C})이 있다. ... 해를 구하는데 필요한 곱셈의 최소 횟수C(i, j) = mini≤k≤j-1 { (Mi……Mk)(Mk+1……Mj) + 결합비용}= mini≤k≤j-1{ C(i, k) + C(k+1,
    방송통신대 | 12페이지 | 5,000원 | 등록일 2022.07.21
  • 중고등학교 수련회 안내문
    일정표시 간1 일 차(3/19 수)2 일 차(3/20 목)3 일 차(3/21 금)"An experience can change a life"아침활동(기상/체조/산책/숙소정리)07:00아 ... 취침준비 및 점검What I hear, I forgetWhat I see, I remember What I do, I know22:00취침23:00☞ 상기 교육 일정은 일기 변화와 ... 요리법으로 변화하면서 정착화 된 요리이다.점심M모듬버섯햄버거스테이크(돈육:국내산/우육:호주산)독일식소시지(돼지고기:국내산/닭고기:국내산)볶음(바베큐소스) 허브양념치킨(닭봉:브라질)D초코퐁듀
    서식 | 3페이지 | 1,500원 | 등록일 2023.08.03
  • C언어1 - 판매 실적 보고서 생성 프로그램
    4; j++) scanf("%d", &sell[i][j]);}printf("판매 실적 보고서\n");for (i = 0; i < LINE; i++)printf("=");printf( ... 4; j++) scanf("%d", &sell[i][j]);}printf("판매 실적 보고서\n");for (i = 0; i < LINE; i++)printf("=");printf( ... j < 4; j++) scanf("%d", &sell[i][j]);}printf("판매 실적 보고서\n");for (i = 0; i < LINE; i++)printf("=");printf
    리포트 | 6페이지 | 2,000원 | 등록일 2023.11.23 | 수정일 2023.11.28
  • 방통대 소프트웨어공학 보고서
    2 + 3 + 4 + 3 + 1 = 13A → B → D → H → I : 2 + 3 + 4 + 2 + 1 = 12A → B → E → H → I : 2 + 3 + 6 + 2 + 1 ... /news/194009#csidxda4c9309f2825a2969e688e7fed4d22 ... = 14A → C → E → H → I : 2 + 4 + 6 + 2 + 1 = 15A → C → F → I : 2 + 4 + 5 + 1 = 12“A → C → E → H → I”가
    방송통신대 | 8페이지 | 3,000원 | 등록일 2024.05.01
  • [A+] 전류계 및 전압계 사용법 예비 레포트
    제어 토크T _{c}가 평형된 위치에서 정지하므로,식 (1BULLET 2), (1BULLET 3)에서T _{d} =T _{c}로 놓아I를 구하면I= {k _{c}} over {k _ ... {d}} theta =k theta 단,k= {k _{c}} over {k _{d}}그러므로 가동 코일의 회전각theta 는 피측정전류I에 비례하여 균등눈금으로 나타낼 수 있다.II ... 제어 토크T _{c}를 발생시킨다.T _{c}는 가동 코일의 회전각theta 에 비례하며,T _{c} =k _{c} theta 로 된다.따라서 가동 코일은 구동 토크T _{d}와
    리포트 | 2페이지 | 1,500원 | 등록일 2023.09.11
  • [영어면접, 영어인터뷰] 자기소개, 예상문답, 합격을 부르는 팁 정리
    But now, if I study again, I feel like I d try to finish what I have to do. ... I can be a good friend to anyone. Lastly, I help others often. ... By listening, I can listen to the other person better.
    자기소개서 | 10페이지 | 4,500원 | 등록일 2020.06.03 | 수정일 2022.09.16
  • [경찰청] 과태료 납부고지서 원부(운전자)
    in this citation. ... Violation차량번호Number of Vehicle차종Model of Vehicle승용, 승합, 화물, 특수, 노면전차용도Use사업용, 비사업용위 사실이 틀림없음을 확인하고 서명합니다.I ... Violation차량번호Number of Vehicle차종Model of Vehicle승용, 승합, 화물,특수, 노면전차용도Use사업용, 비사업용위 사실이 틀림없음을 확인하고 서명합니다.I
    서식 | 4페이지 | 무료 | 등록일 2023.03.10
  • 병렬프로그래밍 CUDA 프로그래밍 과제1 - Vector Addition
    );cudaMalloc((void**)&vect2d, size);cudaMemcpy(vect2d, vect2, size, cudaMemcpyHostToDevice);cudaMalloc ... (i < width) {resultd[i] = vect1d[i] + vect2d[i];}}- 결과 화면- 속도향상 비교 분석101001,00010,000100,0001,000,00010,000,000100,000,000150,000,000200,000,000CPU ... 할당된 메모리 해제cudaFree(vect1d);cudaFree(vect2d);cudaFree(resultd);}// 병렬식 계산함수__global__ void addtionKernel
    리포트 | 6페이지 | 3,500원 | 등록일 2023.04.26
  • (방송통신대 c프로그래밍 기말시험)C 프로그래밍의 2019년도 기출문제에 대해 다음의 내용과 지시사항을 참고하여 작성하시오(홀수 학번 과제물)
    ① %d, %f, %e ② %d, %o, %x ③ %c, %e, %d ④ %e, %f, %g정답해설%d는 부호 있는 10진 정수로 변화하여 출력한다. ... #includevoid main(){int i, j;i=j=4;if(i==3)if(i==4)printf("\n%d", i=i+j);elseprintf("\n%d", i=i-j);printf ... ("、따%d", i);}8.
    방송통신대 | 11페이지 | 7,000원 | 등록일 2021.05.03 | 수정일 2021.05.13
  • 많이 쓰는 정형외과 약어(진단명 및 수술명)
    arthroscopic RC repair shoulder RtReversed TSROpen I&D & plostalac knee LtOR/IF femur LTHTO c Arthroscopic ... debridement knee RtDecompression & biopsy, Wound closure L/Ext LtFixative removal c arthroscopic exploration ... 직거상]STSGSplit Thickness Skin Graft [단층 피부 이식술]Tenolysis [건박리술]Tenorrhaphy [건봉합술],수술명 예시Manipulation c
    서식 | 2페이지 | 1,500원 | 등록일 2021.05.10
  • 방통대 2021년 1학기 C프로그래밍 기말과제
    #includevoid main(){int i, j;i=j=4;if(i==3)if(i==4)printf("\n%d", i=i+j);elseprintf("\n%d", i=i-j);printf ... ("、따%d", i);}8. ... #include void main() {char var='A';printf("varl=%d var2=%c", var, var); ㉠putchar(var+2) ; ㉡}3.
    방송통신대 | 11페이지 | 15,000원 | 등록일 2021.05.24 | 수정일 2021.05.26
  • 세종대학교 소프트웨어 특강 과제1
    cost_gradient(d, weight, col_name):sum_ = 0for i in range(len(d)):y = d['Class'][i]x = [d['Petal_Length ... '][i], d['Petal_Width'][i]]hx = siy)else:sum_ += (hx - y) * d[col_name][i]return sum_ / len(d)def make_line ... ()def sigmoid(z):return 1 / (1 + np.exp(-z))# for 3def cost(d, weight):error = 0for i in range(len(d)
    리포트 | 12페이지 | 2,500원 | 등록일 2023.06.24
  • 포항공대 화학과 대학원 연구계획서
    일반 가이드 연구, 유전자, 약물 및 산화질소 전달을 위한 고분자 생체 재료 분석 연구, Ag-Ag2S Hetero-Nanorod로 Ag Nanorod의 제어된 황화 연구, 엔도솜 d를 ... 1,2-Diol 유도체에 대한 전기화학 구동 입체선택적 접근 연구, 항-혈관신생을 위한 PEI-g-PEG-RGD 접합체를 사용한 가용성 Flt-1 유전자 전달 연구, Silver(I) ... 결합의 구리 매개 아민화 연구, 전이 금속 촉매 C-H 아민화에서 궁극적인 아미노 공급원으로서 암모니아의 사용 연구, 유기 아지드를 질소 공급원으로 사용하는 전이 금속 촉매 C-N
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.09.27
  • 병렬프로그래밍 CUDA 프로그래밍 과제2 - Matrix multiplication
    );cudaMalloc((void**)&matrix2d, size);cudaMemcpy(matrix2d, matrix2, size, cudaMemcpyHostToDevice);cudaMalloc ... , result_matrixd, size, cudaMemcpyDeviceToHost);// 그래픽카드의DRAM에할당된메모리해제cudaFree(matrix1d);cudaFree(matrix2d ... = 0; i < width * width; i++) {matrix1[i] = 1;matrix2[i] = 1;result_matrix[i] = 0;}// 시간측정cudaEventRe셈
    리포트 | 10페이지 | 3,500원 | 등록일 2023.04.26
  • 기초거시경제론) 1. 다음 표는 교재의 <표 9-2>와 동일한 것이다. 이 표에서 투자지출이 기존의 10조원에서 30조원으로 20조원만큼 증가할 경우, 표가 어떻게 변하는지 나타내시오. 이 때의 균형 GDP와 승수는 얼마인가?
    )(1)-(2)(4)세후소비(C _{a})(5)세후저축(S _{a})(6)투자(I _{})(7)정부지출(G)(8)순수출(X _{n} =X-Q)(9)총지출(C _{a} +I _{} + ... 정부부문이 포함된 개방경제에서 균형 GDP의 결정(단위: 조원)(1)GDP(Y)(2)조세(T)(3)처분가능소득(Y _{d})(1)-(2)(4)세후소비(C _{a})(5)세후저축(S ... _{a})(6)투자(I _{})(7)정부지출(G)(8)순수출(X _{n} =X-Q)(9)총지출(C _{a} +I _{} +G+X _{n})(4)+(6)+(7)+(8)수출(X)수입(Q
    방송통신대 | 3페이지 | 3,000원 | 등록일 2024.02.02
  • 그림 컨셉 모바일 비즈니스 PPT 템플릿 / 세련된 비즈니스 템플릿 / 비즈니스 PPT / 비즈니스 PPT 템플릿 / 파워포인트 디자인 / 제안서 PPT / 사업계획서 PPT / 사업제안서 / 회사소개서 PPT
    STEP THREE e7d195523061f1c03a90ee8e42cb24248e56383cd534985688F9F494128731F165EE95AB4B0C0A38076AAEA07667B1565C446FC45FF01DFB0E885BCDBDF3A284F3DB14DA61DD97F0BAB2E6C668FB4931659DCAC52277681B35A97A58EB1CDE1A30E511E1F70EEB23193653529328E29B82636547E25AC41088D20F0A52114429D13EF1D12E4FBA26373564D4CAB325C9Break ... *************8E29B82636547E25AC41088D20F0A52114429D13EF1D12E4FBA26373564D4CAB325C91 2 3 4 ONTENTS PART ... Read Here e7d195523061f1c03a90ee8e42cb24248e56383cd534985688F9F494128731F165EE95AB4B0C0A38076AAEA07667B1565C446FC45FF01DFB0E885BCDBDF3A284F3DB14DA61DD97F0BAB2E6C668FB4931659DCAC52277681B35A97A58EB1CDE1A30E511E1F70EEB23193653529328E29B82636547E25AC41088D20F0A52114429D13EF1D12E4FBA26373564D4CAB325C9heijialuo
    ppt테마 | 24페이지 | 5,000원 | 등록일 2021.09.21
  • 방송대 2020 멀티미디어영어 기말과제
    , ...I wish I could, but I have classes today.나도 그러고 싶은데, 오늘 수업이 있어.I’d really like to help you out, ... 해주고 싶은데, ...I’d like to, but I’m too busy.나도 그러고 싶은데, 너무 바빠서 말이야.I wish I could, but...나도 그렇게 해주고 싶은데 ... 과제물유형:(공통) 형※ 평가유형이 과제물형 경우 해당 유형 작성(예 : 공통 / A형 / B형 / C형 / D형 / E형)?
    방송통신대 | 6페이지 | 3,000원 | 등록일 2022.03.04
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 01. 전류계_및_전압계_사용법 예비보고서 (A+)
    따라서 가동 코일은 구동 토크T _{d}와 제어 토크T _{c}가 평형된 위치에서 정지하므로, 식 (1-2), (1-3)에서T _{d} =T _{c}로 놓아 I를 구하면I= {k _ ... {c}} over {k _{d}} theta =k theta ,`k= {k _{c}} over {k _{d}} (1-4)그러므로 가동 코일의 회전각 θ는 피측정전류 I에 비례하여 균등눈금으로 ... 가동부는 구동 토크T _{d}와 제어 토크T _{c}가 균형을 이룰 때, 즉T _{d} =T _{c}인 경우 정지하게 되므로 가동부의 회전각theta 는i ^{2}에 비례한다.theta
    리포트 | 9페이지 | 1,500원 | 등록일 2023.12.31 | 수정일 2024.09.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대