• 통큰쿠폰이벤트-통합
  • 통합검색(984)
  • 리포트(779)
  • 자기소개서(194)
  • 시험자료(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 이력서(2)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 181-200 / 984건

  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    또한 이후의 실습을 통해 클록 분주기와 디바운싱 코드를 FPGA와 컴퓨터를 연결하여 소스코드를 직접 작동시켜 보았다. ... 알아야한다.(200행-207행)2) PLANAHEAD를 통해 핀 할당핀 할당 파일을 만들기 위해 UCF 파일을 생성하였고, PLANAHEAD를 실행하여 핀을 할당하였다.p79는 FPGA에 ... 저장할 때 사용되는 cnt변수이다.상태를 나타내기위해서 2개의 type(초기 상태를 p0(스위치를 누르지 않은 상태)와 Cont(실행 상태))로 설정하였다. (14행-30행)clk은 FPGA내부의
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 디지털시스템실험 2주차 예비보고서
    FPGA 보드를 통한 검증1. ... 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.기본지식1. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함
    실험제목최종 프로젝트 – Vending Machine실험목표① 자판기를 설계한다.② FPGA 보드에 올려 동작을 검증한다.실험결과1.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.01.07 | 수정일 2020.12.09
  • 연세대학교 기초디지털실험 1주차 결과레포트
    FPGA, Field Programmable Gate Array is an integrated circuit designed to be constructed by a customer
    리포트 | 13페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2023.01.07
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 2.Schematics - 예비+결과+성적인증 (서울시립대)
    실험 목적1.ISE의 여러 logic gate symbol을 직관적으로 이용하는 Schematic 설계를 익힌다.2.FPGA Device Configuration을 해보고, Verilog ... 사전 조사 실험 전에 조사한 답과 다른 것을 우선 순위로 작성하였다.[3]How many programmable logic gates are there in one of our FPGA ... Note)이때, 실험에서 사용하는 XC3S200 FPGA의 구성은 다음과 같다.∴ 16-to-1 MUX = 2-to-1 MUX ×15=4×15 =60 NANDs∴ 4-input LUT
    리포트 | 14페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.13
  • 9장 VHDL 설계 툴 사용법 예비
    FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다.주로 디지털 회로 설계에 사용된다. ... 이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. ... 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드) 부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다.나.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 현대모비스 R&D(연구개발) 합격직 자소서
    또한 FPGA를 사용하여 회로를 설계하고 C언어를 사용해 설계한 회로에서 구동되는 임베디드 SW를 작성한 경험이 있습니다.마이크로컴퓨터 시스템, 컴퓨터 구조, 운영체제 과목을 들으면서
    자기소개서 | 1페이지 | 3,000원 | 등록일 2023.10.08
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    또한 FPGA에 내장 되어있는 소자인 COMPM4를 이용해 그 기능을 확인한다. Half-adder를 구현해보고 ISE를 이용한 symbol library의 생성해본다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    실험 결과 및 분석1) 각자가 설계한 Block을 Xilinx ISE로 합성하고, FPGA에 다운로드 한 후 동작을 검증한다. ... 이는 예상결과와 동일했으며 이를 이용하면 곱셈기를 만들 수 있을 것으로 생각되었다.2) 각자가 설계한 Block을 합친 곱셈기를 Xilinx ISE로 합성하고, FPGA에 다운로드
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 온세미컨덕터 AE직무 인턴 합격자소서
    그래서 그 후 Verilog의 기본 문법과 FSM의 설계를 스스로 공부해본 끝에, FPGA 보드와 Verilog를 이용한 cruise controller를 설계하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.12
  • 임베디드시스템 정리
    *simulation acceleration과 emulation의 공통점 3개=>하나는 디자인 실행을 위해 커스텀 프로세서 어레이를 쓴다.나머지 둘은 병렬성을 얻기위해 FPGA 어레이를
    리포트 | 3페이지 | 2,500원 | 등록일 2021.01.04
  • KB국민카드 IT직 자기소개서
    작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신을 하며 FPGA보드와 ... ‘FPGA와 라즈베리파이를 이용한 지능형자동차’라는 주제였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다.
    자기소개서 | 3페이지 | 8,000원 | 등록일 2019.12.06
  • 서울시립대 전자전기설계2(전전설2) 2주차 결과보고서
    LED를 알맞게 연결하기 위해 p63, p67, p190, p191이라는 핀 번호들을 위 사진처럼 코드를 작성하여 각각의 입출력 포트에 알맞게 대응시켰다.이후 작성한 회로도와 코드를 FPGA에 ... 전가산기를 만드는 것이다.그리고 기존 반가산기보다 입력 포트(Cin)가 하나 더 늘어났기에 위 사진처럼 3번 버튼을 65번 핀번호에 대응하는 코드를 하나 더 작성했다.작성한 회로를 FPGA
    리포트 | 9페이지 | 1,500원 | 등록일 2019.10.13
  • 논리회로및실험 레포트
    SRAM의 모든 제어 신호는 FPGA 디바이스와 직접 연결 되어 있고, FPGA 디바이스에서 SRAM 핀을 제어하여 내부에 읽고, 쓰고 하는 작업을 하게 됩니다.7. ... 따라서 UART 2 와 USB는 공통의 FPGA 디바이스 핀 제어가 가능합니다.3) 회로USB에서 입력되는 데이터 핀이 FT232 디바이스를 통해 바 로 FPGA 디바이스로 연결되어 ... 이 모든 작업은 FPGA 디바이스와 연결된 I/O핀에서 제어를 하면 됩니다.4) 핀 구성표3.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다. ... Xilinx자일링스 사는 현장 프로그래머블 게이트 어레이(FPGA)로 알려진 리컨피규러블 하드웨어 IC 부분에서 가장 큰 개발업체이고 팹레스 제조업체이다. ... Implementation Constraints File을 선택한다.File ⇒ Initialize ChainFile ⇒ NewText File을 선택한다.File ⇒ Open.ucf 파일을 선택한다.FPGA
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    FPGA Simulation1) Quartus 프로그램을 실행하여 프로젝트를 생성한 뒤 프로그래밍한 Verilog 파일을 불러왔다.2) Main회로를 Top level로 설정한 뒤 ... 시뮬레이션을 시작하였다.bcdripplecounterregistershift register토의이번 실험은 FlipFlop을 사용하여 sequential circuit을 설계해 보았는데 FPGA
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • SK하이닉스 설계 최종 합격 자기소개서(자소서)
    LED 장치를 사용하는 과정에서 데이터 형식을 맞추기 위해 전류를 x축과 y축 대응쌍에 흘려보며 데이터를 수집하고 Decoder를 구현하였습니다.구현한 모듈을 합쳐 전체 시스템을 FPGA에 ... 경험의 진실성을 증명할 수 있는 근거가 잘 드러나도록 기술) (700~1000 자 10 단락 이내)[Verilog로 Snake game을 구현하다]학부 디지털시스템 실험 프로젝트로 FPGA
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.13
  • sr latch,D,T flip-flop 예비레포트
    실험 장비Digilent Nexys4 FPGA Board, vivado design suite 2014.44. 관련 이론-FPGA 란? ... -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... FPGA는 회로 변경이 불가능한 일반 반도체와 달리 용도에 맞게 회로를 다시 새겨 넣을 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • LG전자 VS본부 HW설계 합격 자기소개서
    또한 RVX Tool을 이용하여 합성과정을 거친 후 FPGA에 Application하여 성능을 검증하였습니다. ... 이후 RISC-V eXpress를 이용하여 프로세스 기반을 만든 후 완성된 SoC 플랫폼을 FPGA를 통해 작동이 잘 되는지 검증을 완료했습니다. ... 프로젝트 경험대학교 4학년 1학기 동안 APB-Based IP를 설계하고 RISC-V 프로세서 기반의 플랫폼에 임베디드하여 SoC 플랫폼을 구현하고 Xilinx Vivado를 이용하여 FPGA
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • AI반도체에 대해
    1위 기업 자일링스를 인수하고 CPU, FPGA, GPU를 제공한다. ... 이외에 FPGA(하드웨어 프로그래밍이 가능한 프로그래머블 반도체)는 대용량 데이터 처리가 가능하지만 비싼 가격이 보급의 장애요인으로 작용한다.4. ... 하바나랩스를 인수해 CPU, GPU, FPGA 등의 통합 솔루션을 제공하려고 한다.4) 구글세계 3위의 클라우드 서비스 사업자인 구글은 데이터 처리 속도를 향상시킨 텐서플로 처리장치
    리포트 | 3페이지 | 3,000원 | 등록일 2023.05.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대