• 통큰쿠폰이벤트-통합
  • 통합검색(275)
  • 리포트(252)
  • 시험자료(20)
  • 방송통신대(3)

"8-bit Shift Register" 검색결과 181-200 / 275건

  • Xilinx IP core의 설계 및 VHDL의 기초 설계법
    Counter 설계하기 PAGEREF _Toc184483312 \h 4 HYPERLINK \l "_Toc184483313" 2.2.Shift 레지스터 설계하기 PAGEREF _Toc184483313 ... --library UNISIM;--use UNISIM.VComponents.all;entity top_test_CNT4BIT isPort ( clk : in STD_LOGIC;rst ... SEQ 그림 \* ARABIC 8 Check Syntex다음은 4bit count를 생성하기 위한 VHDL 코드이다.library IEEE;use IEEE.STD_LOGIC_1164
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • RAM(Random Access Memory) - DRAM, SRAM, FeRAM(FRAM), MRAM, PRAM
    Memory Interleaving2.3.4 Synchronous Clocking2.3.5 Pipeline 방식2.3.6 Multi Bank화2.3.7 동작 Mode Setting Register2.3.8 ... Synchronous Burst SRAM, 동기식 SRAM)3.2.3 PB SRAM (Pipelined Burst SRAM)3.3 SRAM의 특성3.4 SChip의 고성능화 동향② Multi-bit ... 강유전체 커패시터에 전압이 인가되지 않은 상태에서는 비트 라인에 정보가 나타나지 않지만, 플레이트 전극을 펄스 구동해서 강유전체 커패시터에 전압을 인가하면 막 중의 분극이 비트 라인
    리포트 | 64페이지 | 5,000원 | 등록일 2012.12.22
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    이것의 자세한 내부 는 아래그림과 같다.그림 7.2 Pulse Generator의 내부-Pulse Counter그림 8.1 Pulse CounterPulse Counter는 위의 Pulse ... 이 Pulse Counter를 만들기 위한 내부회로는 아래와같다.그림 8.2 Pulse Counter의 내부-Form Maker그림 9.1 Form MakerForm Maker 100us의 ... Sub State Diagram(2) Data Path UnitData Path부분에는 실제로 펄스를 만들기위한 각 레지스터들이 존재한다.
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • SHIFT REGISTER 예비보고서
    예비보고서-SHIFT REGISTER-1. ... 실험 기구디지털 실험장치, 오실로프코포(또는 다른 측정장치), 펄스/구형파 발생기, D플리플롭 7474(2개), XOR 게이트 7486, 8비트 직렬 입력-병렬 출력 시프트 레지스터 ... 목 적순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터(shift register), 링 카운터(ring counter), 존슨 카운터 (johnson counter), 의사
    리포트 | 4페이지 | 1,000원 | 등록일 2009.09.26
  • MICROPROCESSOR
    Bit) ▣ D/A 컨버터: DAC 0800(8 Bit) x 2 ▣ Digital In/Out: PPI8255 x 2 ▣ 16bit Counter: CTC 8254 ▣ 다양한 CPU와의 ... 하드웨어 카드로 PC의 확장 슬롯(slot)에 직접 삽입되는 보드입니다.Interface card의 특징▣IBM PC 호환으로 8 BIT 확장 슬롯에 연결하여 사용 ▣DIP Switch를 ... Xdata형 포인터 변수를 할당하여 그 주소에 데이터를 쓴다#define(기호상수)로 값을 정해 주어 포트,또는 레지스터를 쓰기 편하게 잡아 놓은 것E-board 초기화 함수DOT
    리포트 | 21페이지 | 1,500원 | 등록일 2011.04.16
  • 쉬프트 레지스터 예비
    실험제목Shift Register2. ... ADC는 입력 아날로그 신호를 매초 8000번 샘플링하여 8비트 병렬데이터로 출력한다. 이것은 다시 병렬입력-직렬출력 시프트 레지스터를 통해서 직렬 데이터로 변환된다. ... 즉, 데이터를 1비트씩 입력하여 1비트씩 출력한다는 의미를 갖고 있다.이 방식은 4비트 직렬 입력-직렬 출력 시프트 레지스터로 클리어 입력을 갖춘 74175, 74C175, 40175와
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.08
  • 실험 6. 시프트레지스터와 카운터
    양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라 ... 시프트레지스터와 카운터(Shift Register & Counter)1. 실 험 목 적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. ... 이 론◆ 시프트레지스터(shift register) & 링카운터(ring counter)?
    리포트 | 15페이지 | 2,000원 | 등록일 2009.03.10
  • (전실결과)DC모터 드라이버
    그래서 우리는 RPM값을 Shift register를 이용하여 15번 Shift하여 RPM값 15개를 받아 이를 평균내어 출력하도록 하였다. ... 이렇게하여 60초동안 회전한 수인 RPM을 구할 수 있었다.이동평균을 구하는 Shift register실험결과분석 : RPM의 값을 바로 Gauge에 입력하면 값의 팅김 현상과 에러 ... 입력한 값은 값이 더해지고, 더해진 값은 32bit로 변환된다. 이 값은 분주회로에서 2진카운터에 의해 1/2되었기 때문에 다시 2를 곱해주어 수를 맞춰준다.
    리포트 | 11페이지 | 6,000원 | 등록일 2012.03.21 | 수정일 2015.09.04
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 6-예비,결과 보고서
    그리고 SL이 1이 되어 있는 부분이 있는데 S0와 S1이 1이기 때문에 별로 문제 되지는 않는다. 0으로 해도 결과는 같다.Shift register와 D F/F를 이용한 8 bit ... 이렇게 해서 한 번의 계산 결과를 저장하고 반복해서 full adder에서 더해주면 곱셈기가 설계 될 것이다.Shift register와 D F/F를 이용한 8 bit 곱셈기 schematic위에서 ... 설계된 곱셈기를 살펴보면 첫 번째와 두 번째 74194 IC는 register_A의 역할을 한다. 2개의 74194를 사용해서 8bit register를 구성했으며, 입력된 A,
    리포트 | 13페이지 | 1,000원 | 등록일 2009.01.25
  • ARM 프로세서 명령어
    Right11Arithmetic shift Right10Logical Shift Right01Logical Shift Left00쉬프트 동작비트[6:5]쉬프트 }{B} Rd, [Rn ... /대상 레지스터10하프워드와 Signed 바이트 단위 데이터 전송 명령옵셋 : 이미디어트 상수옵셋 : 레지스터Lmm[7:4]Lmm[3:0]0000Rm11 8 3 011 8 3 031 ... JAVA 명령 Jazelle 코어가 확장되면 8비트 Java 명령어의 수행이 가능하다.02. 32비트 ARM 명령어ARM 명령의 11가지 형태Undefined 명령11BXBranch
    리포트 | 32페이지 | 3,000원 | 등록일 2008.10.28
  • 논리회로실험- 시프트레지스터 와 카운터 결과보고서
    Circulating Shift Register - Truth Table그림 3의 회로에서 SER(9번핀)과 QE(10번핀)을 연결하여 그림 4의 회로를 구성하라.1) 시프트 레지스터를 ... 물론 약간의 시행착오는 있었지만 비교적 빠른 시간내에 실험을 마칠 수 있었던 실험이었다.앞서 꾸민 SHIFT REGISTER와 달리 집적회로화된 SHIFT REGISTER 7496의 ... 기본동작&& 실험과정1) DS(9번핀)와 PE(8번핀)를 접지시킨다.2) CLR을 접지시켰다가 +5V에 연결하여 모든 비트를 클리어 시킨다.
    리포트 | 23페이지 | 1,000원 | 등록일 2008.02.25
  • Ch13. 시프트 레지스터
    대표적인 패키지로는 74164 TTL 8비트와 CMOS 74C164를 들 수 있다.3) 병렬 입력-직렬 출력 시프트 레지스터(Parallel in-Serial out Shift Register ... TTL 74164(Shift Register)- 입력단을 잠시 ‘0’으로 함으로써 레지스터 내용을 clear 할 수 있다. ... 이론(1) 데이터의 입출력 방식1) 직렬 입력-직렬 출력 시프트 레지스터(Serial in-Serial out Shift Registers)- 데이터를 직렬로 받아들려 직렬로 처리한다
    리포트 | 6페이지 | 2,000원 | 등록일 2008.01.08
  • TEXT LCD 제어 I/O 응용 및 실습
    쓰면 된다.◎ RegisterLCD Controller (HD44780)에는 2개의 8bit 레지스터가 있다 이들은 위에서 보인 IR 과 DR인데, LCD 모듈의 RS 입력 신호에 ... micro-processor와 2개의 레지스터 (DR, IR)로 구성되어 있다.LCD 내부 모듈 구조기본적인 사용방법은 IR에 명령어를 셋팅하고, DR에 표시하고자 하는 데이터를 ... 편리하며 전력소모가 매우 작다는 장점이 있어 휴대용 마이크로 컴퓨터 시스템에서 표시장치로 사용되고 있다.■ Character LCD 내부 모듈 구조Character LCD 모듈은 8bit
    리포트 | 11페이지 | 3,000원 | 등록일 2008.05.31
  • [논리회로실험] 가산기와 감산기 (예비)
    universal shift register(2) 74HC1648-Bit Serial-in/Parallel-out Shift Register3) 실험방법4의 7486과 7400을 ... 또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.(1) 병렬가산기 parallel adder▶ N 비트의 가산기를 ... 이용하여 N비트의 가산을 할수 있는 가산기▶ 시프트 레지스터 2개에 입력 A, B를 넣어 LSB(Least Significant Bit)가 맨 오른쪽에 오도록 하고 전가산기의 Sum
    리포트 | 11페이지 | 1,500원 | 등록일 2009.03.20
  • 디지털논리회로실험 - 레지스터
    실험 8 레지스터(register)? 레지스터? ... Shift-Right Registerb. Shift-Left Registerc. 기타 : Bi-Drectional(양방향) Register(2) 병렬 로드 레지스터? ... 레지스터의 모든 비트가 하나의 클럭펄스에의하여 새로운 정보로 동시에 바뀌어 저장할 때 병렬 로드(parallel load)('load' - 레지스터에 어떤값을 저장하는 것)(1) 시프트
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.21
  • 운영체제
    컴퓨터 본체 안에 내장된 각종 부품은 키보드로부터 전송된 비트 신호를 8개씩 끊어서 판독한다. 그래서 처음 보내온 신호 중에서 8단위를 끊어 01000001을 읽어드린다. ... 단, 이때 보내는 자리 수는 8자리이다. 왜냐하면 8비트로 보내기로 약속했기 때문이다. 십진수 65를 이진수로 바꾸면 01000001이다.전기적인 신호로 0과 1을 구별한다. ... 즉, 아스키코드(ASCII code)체계를 따르는 컴퓨터끼리는 자료의 호환이 가능하다는 이야기이다.8비트로는 1바이트인 2의 8제곱을 계산하면 0부터 255까지 256가지의 숫자를
    리포트 | 6페이지 | 1,500원 | 등록일 2011.10.27
  • mmap()함수를 이용한 CLCD 제어용 Device Driver의 설계
    여기서 8비트 제어인지, 4비트 제어인지 결정한다.ㄴ. ... Character LCD 제어그림과 같이8bit Microprocessor가 내장되어 IR과 DR을 이용하여 LCD에 글자를 표시한다.Target board의 main CPU는 IR ... : 화면(S/C=1) 또는 커서(S/C=0)를 오른쪽(R/L=1) 또는 왼쪽(R/L=0)으로 시프트 한다.(6) Function Set : Interface에서 data의 길이를 8비트
    리포트 | 7페이지 | 2,000원 | 등록일 2010.06.18
  • [공학기술]ARM 명령어 집합 06-ARM-Instruction-Set_
    4 PC-8ARM 명령어 형식기본 형식 ADD Rd, Rn, Op2 ; Rd = Rn + Op2 Rd = destination register Rn = operand 1 (항상 register임 ... Shift Rightsign 비트 유지s회전 ShiftRotate Right (ROR) ROR #5 Rotate Right Extended (RRX) RRX #5DestinationRotate ... ) Op2 = operand 2 (register 혹은 immediate 값) ; 다음은 comment조건 수행CPSR의 조건 코드 비트의 값에 따라 명령어를 실행하도록 하기 위해서는
    리포트 | 44페이지 | 2,000원 | 등록일 2007.05.08
  • RSCPU Simulator
    기억 장소에서 데이터를 받아서 자기 테이프와 같은 출력 매체에 전송하는 버퍼 역할을 하는 레지스터.(8) 검사 레지스터(check r곱수/곱하임수를 기억하는 레지스터.(20) 색인 ... 이진 나눗셈이란 제수와 피제수 간에 비트 단위로 그 극성이 같으면 0, 다르면 1의 값을 나머지로 하는 연산(즉, XOR)을 의미한다. ... 문제 해결 방법(1) mask 값 사용피제수의 가장 첫 1의 값을 갖는 bit 를 찾기 위하여 mask 값을 이용, AND 연산을 하여 그 결과값 및 Zero Flag 값을 구한다.mask
    리포트 | 13페이지 | 3,000원 | 등록일 2009.06.07
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    8-9. 7-세그먼트디코더, 쉬프트 레지스터업-다운카운터, 각종 카운터제출일실험조이름-사전 보고서-? ... 쉬프트 레지스터 Verilog HDL 코드module Shift_reg (Clk, In_signal, Out_sr);input Clk, In_signal;output [3:0] Out_sr ... 모든 플립플롭은 동일한 클럭 펄스로 동작하는데, 이것은 한 단계에서 다음 단계로 이동을 일으키는 역할을 한다.4 비트 쉬프트 레지스터를 예로 들면, 입력으로 1 비트의 Clk와 In_signal
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대