• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(218)
  • 시험자료(20)
  • 방송통신대(6)
  • 자기소개서(2)
  • 논문(1)

"엔티티관계정의" 검색결과 1-20 / 247건

  • 시스템분석설계_각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계엔티티 관계도로 표현하세요
    엔티티의 개념2. 엔티티가 가지는 속성과 엔티티들 간의 관계 정의3. 엔티티 관계도 도출4. 느낀 점5. 참고문헌1. ... 엔티티 관계도 도출엔티티 관계도는 정의엔티티들과 엔티티 간의 관계를 도형과 선을 활용하여 정보의 총체적인 개념을 표현한 도식이다. ... [엔티티: 회사, 사원, 프로젝트, 프로젝트에 필요한 부품, 부품의 공급자] 각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계엔티티 관계도로 표현하세요.제 출 일담당
    리포트 | 5페이지 | 2,000원 | 등록일 2024.07.01
  • 시스템분석설계_각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계엔티티 관계도로 표현하세요
    엔티티의 개념2. 엔티티가 가지는 속성과 엔티티들 간의 관계 정의(1) 속성(Attribute)(2) 관계(Relationship)3. ... (엔티티: 회사, 사원, 프로젝트, 프로젝트에 필요한 부품, 부품의 공급자) 각 엔티티들이 가져야 하는 속성들을 정의하고 엔티티들의 관계엔티티 관계도로 표현하세요.학번이름- 목 ... 엔티티 관계도 도출(1) 작성 순서엔티티 관계도(Entity Relationship Diagram ; ERD)는 정의엔티티와 그들의 관계를 도형과 선 등으로 표기함으로써 총체적인
    리포트 | 7페이지 | 3,000원 | 등록일 2024.05.08
  • 데이타베이스 구축 및 설계(엔티티정의, 엔티티관계정의, 테이블정의)
    티켓구매 엔티티관계 정의발매한다회원 엔티티와 상영관 엔티티관계정의관람한다상영관 번호객석 수상영영화 제목영화시간표상영관카드생성일주소주민번호생일날짜휴대폰번호회원카드 번호회원카드이름회원번호마일리지 ... 통신카드롯대 신용카드신용카드통신카드할인구매LG 통신카드현대 신용카드▶직원 엔티티와 상영관 엔티티의 근무 관계 정의직원 번호이름주소주민번호휴대폰번호근무타임담당 바이져매점직원직원 번호이름주소주민번호휴대폰번호근무타임담당 ... 점수▶회원 엔티티와 티켓구매 엔티티의 구매 관계구매한다티켓구매KTF 통신카드삼성 신용카드현대 신용카드KTF 통신카드롯대 신용카드신용카드통신카드할인구매LG 통신카드카드생성일주소주민번호생일날짜휴대폰번호회원카드
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.09
  • 대학에서 학생들의 수강 신청 관리를 위한 ERD를 작성하시오 서론
    이러한 다이어그램은 데이터베이스의 논리적 구조를 정의하고, 데이터 간의 관계를 명확하게 표현하며, 데이터베이스 설계자와 사용자 간의 의사소통을 촉진한다.대학 수강 신청 관리는 복잡한 ... '학생'과 '과목' 엔티티 간에는 '수강 신청'이라는 관계가 있을 수 있다. '교수'와 '과목' 엔티티 간에는 '강의 지도'라는 관계가 있을 수 있다. ... 관계는 ERD에서 마름모로 표현되며, 각 관계는 고유한 이름을 가진다.대학 수강신청 관리 ERD 설계엔티티 식별첫 번째 단계는 관련된 모든 엔티티를 식별하는 것이다.
    리포트 | 4페이지 | 3,000원 | 등록일 2024.07.09
  • 데이터 모델링에 있어 ERD를 관계형 모델로 사상시킨다. 산출물인 관계들을 데이터모델 설계 툴을 활용하여 작성하시오. 데이터 베이스 설계
    데이터베이스데이터 모델링에 있어 ERD를 관계형 모델로 사상시킨다. ... 따라서 그 이외의 작업들을 정의할 수 있어야 하는데, 시중에서 무료로 제공하는 툴을 이용했다는 한계점 내에서 최대한으로 표현하자면 위와 같다.참고자료 Hyperlink "https: ... 산출물인 관계들을 데이터모델 설계 툴을 활용하여 작성하시오.데이터 베이스 설계데이터베이스 설계는 기능 중심 프로세스로 설계하더라도, 고객의 욕구를 먼저 이해하고, 이를 문서화하는 작업이
    리포트 | 2페이지 | 3,000원 | 등록일 2024.07.09
  • 울산대학교 데이터베이스 설계 프로젝트
    개념적 설계 :엔티티/속성 정의서32.1 엔티티 분석서32.2 엔티티/속성 정의서43. 개념적 설계 : 엔티티정의 모델44. 개념적 설계 : 엔티티 간의 관계 분석55. ... 개념적 설계 : 엔티티정의모델고객 엔티티4. ... 개념적 설계 : 엔티티/속성 정의서2.1) 엔티티 분석서1. 오라클 슈퍼는 고객의 고객명, 주소, 주민번호를 기록한 명단을 보유하고 있다..2.
    리포트 | 18페이지 | 2,000원 | 등록일 2021.12.11
  • 한양여자대학교_시스템분석설계_기말고사
    속성을 정의할 때에는 복수 값 속성을 분할하고, 중복을 배제하여야 한다.관계와 속성을 정의하면 각각의 엔티티를 구분할 수 있는 식별자를 정의한다. ... 식별자 업무규칙이 있는데 하나의 엔티티 내에서 인스턴스 하나 하나가 입력, 삭제되거나 외부 식별자가 변경될 시 계속적인 관계 유지 방법을 정의하기 위한 것으로 입력규칙과 삭제규칙이 ... 그리고 선택성은 관계되는 엔티티 존재 조건으로 관계연결의 여부가 미치는 영향의 표현 방식을 의미한다.
    리포트 | 10페이지 | 3,000원 | 등록일 2022.11.30
  • 경기대 산업자료관리 기말고사 시험출제사항 요약 및 정답 정리본
    엔티티에서 주식별자를 찾아서 엔티티를 수정하는 거.엔티티 관계 작성하는 것. ... 계좌 엔티티와 입출금 내역 엔티티 관계(부모는 필수, 자식은 선택) 작성하는 문제수강과목을 관리하는 엔티티를 제1정규화 하시오. ... 직접 쓸 수 있어야 한다.데이터 베이스 구축방법에 대해 서술하시오.(5줄 이상)논리적 DB 설계와 물리적 DB 설계에서 쓰는 용어 구분도메인의 정의 방법 5가지1.
    시험자료 | 13페이지 | 3,000원 | 등록일 2023.06.26 | 수정일 2023.07.05
  • 방송대 데이터 처리와 활용 과제물
    따라서‘대출’개체는 아래와 같은 테이블을 생성한다.대출대출번호(PK)대출액- 다음은 약한 엔티티 타입을 변환한다, 약한 엔티티 타입은 스스로 존재하지 못하고 식별 엔티티나 식별 관계 ... 데이터 구조를 표현하는 데이터 객체(object/entity; 개체), 개체를 통해 해야 할 작업을 연결하는 관계(relationship), 이들 간의 관계에 대한 정의나 제약 조건 ... 강한 개체타입의 기본 키를 가져와 애트리뷰트에 넣고 약한 엔티티타입의 부분키와 합쳐 기본키를 생성한다.- 관계타입을 보면‘대출지급’관계는 ‘대출’개체와 ‘지급’개체를 종속 연결하며
    방송통신대 | 9페이지 | 5,000원 | 등록일 2022.10.07
  • 합격을 부르는 SQLD(SQL개발자) 시험/자격 총정리본
    , 제약사항)● 관계차수(Cardinality)두 개의 엔티티관계에서 참여자의 수를 표현하는 것.● 명령어 분류DDL(데이터 정의어) : 테이블 생성과 조작. ... NULL 안됨(존재성)● 식별자관계와 비식별자 관계에 따른 식별자엔티티에 주식별자가 지정되고 엔티티관계를 연결하면 부모쪽의 주식별자를 자식엔티티의 속성으로 내려 보낸다. ... 경우는 1:M관계.1) 약한 종속관계2) 자식 주식별자 구성을 독립적으로 구성3) 상속받은 주식별자속성을 타 엔티티에 차단 필요4) 부모쪽의 관계참여가 선택관계한쪽으로만 치우친 관계
    시험자료 | 36페이지 | 6,900원 | 등록일 2020.10.31 | 수정일 2021.06.14
  • 데이터베이스 스키마에 정의와 역할 및 기능에 대하여 간단히 서술하세요.
    엔티티-관계 다이어그램이나 개념적 데이터 모델을 통해 표현됩니다. ... 간의 관계와 속성을 정의합니다. ... 스키마는 개체-관계 모델(ERM)을 기반으로 하며, 개체(테이블) 간의 관계정의하여 데이터의 구조를 표현한다.1) 데이터 구조 정의스키마는 데이터의 구조를 정의하여 데이터를 효율적으로
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.16
  • 소프트웨어공학 9~12장 연습문제 홀수번
    엔티티(객체), 관계, 속성을 정의하라.­ ... 엔티티 타입, 관계 타입을 정의하라.5. 키(key)를 정의하고 그 용도를 설명하라.­ 키는 각각의 엔티티를 구별할 수 있는 속성들의 모임이다. ... 엔티티(객체) : 독립적으로 존재하는 실세계의 사물, 객체­ 관계 : 여러 엔티티 사이에 존재하는 연관성­ 속성 : 각 엔티티는 특정 속성의 모임에 의해 기술4.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.06.24
  • 데이터베이스 프로젝트 보고서 (K리그 종합정보시스템)
    배경도2. 1. 3 단순 엔티티(Entity) / 속성(Attribute) 정의위 배경도에서 나타낸 분류는 곧 엔티티가 된다. ... ) M:N 관계의 해소엔티티사이들 중 M:N 관계가 있는지 생각해보았다. ... 상에서 모든 엔티티들은 각 엔티티들과 1가지 이상의 관계를 맺고 있다.
    리포트 | 26페이지 | 5,000원 | 등록일 2019.12.20 | 수정일 2022.02.14
  • 10장 VHDL 설명 및 문법 예비
    여러 아키텍처 몸체에 대한 서로간의 연결과 관계된 선언 및 전체적인 구성에 관한 정의를 내려주는 부분이다.① Component의 이름과 입출력 interface를 정의② 하드웨어의 ... VHDL에 의한 설계 단계별 문법 설명1) 엔티티 선언- 하드웨어 블록의 이름과 입출력포트 선언프로세스의 입출력과 같이 전체의 회로에서 단지 하나만이 존재하며 하나의 엔티티가 가지는 ... 선언문}[begin{문장}]end [엔티티_이름];● generic 문① 설계의 엔티티를 매개변수화 하는데 사용한다.② generic문을 통하여 설계 파라미터를 회로에 전달함으로서
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 2022 방통대 출석과제 데이터과학개론
    엔티티 타입은 엔티티 타입끼리 서로 1:N 매핑된다.네트워크형 모델은 계층형 모델을 개선하여 그래프 구조를 기반으로 구성되는데, 각각의 데이터는 링크로 연결되어 망을 이루는 구조하고 ... 의 경우 ‘남’은 (1, 0) ‘녀’는 (0, 1)로 표현할 수 있다.2번 문제데이터 주도권이란 데이터를 이용하는 사람이 ① “데이터의 특성을 이해하고 분석의 프레임워크를 명확히 정의 ... 접어들면서 인공지능 등 첨단기술의 발전이 가속화되고 있는 지금 데이터 주도권이라는 개념은 점점 더 중요해지고 있다.먼저 ① “데이터의 특성을 이해하고 분석의 프레임워크의 명확한 정의
    방송통신대 | 4페이지 | 5,000원 | 등록일 2022.11.25
  • A+회계는 정보이용자들에 따라 외부정보이용자를 위한 재무회계와 내부이용자를 위한 관리회계분야로 나누어 진다고 배웠다. 또한 특수목적을 위한 비영리회계분야와 세무회계분야로 회계영역을 구분하여 배웠다. 비영리회계의 뜻을 쓰고 누구에게 필요한 회계인지 설명하라.
    서론회계 기능은 엔티티에 대한 유용한 경제 정보를 특정, 측정 또는 제공함으로써 엔티티의 이해 관계자인 정보 사용자가 리소스 할당을 합리적으로 결정할 수 있도록 합니다. ... 비영리회계의 정의2. 회계의 영역1)정부 회계2)가계 회계Ⅲ. 결론Ⅳ. 참고문헌Ⅰ. ... 기업의 이해관계자가 자신의 이익을 위해 결정을 내리면 사회 전체에서 가장 합리적인 결과를 얻을 수 있습니다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.06.08
  • 경상대, 경상국립대, 경영정보시스템 족보, 기말고사, 중간고사, 경영학과
    엔티티간의 관련을 릴레이션쉽(relationship)이라고 하며, 복수 개의 엔티티간의 관련을 도식화 한 것을 엔티티 관계도(ERD:Entity Relationship Diagram ... 엔티티 관계도는 파일시스템을 설계하거나 데이타베이스의 구조를 결정하는 데 중요한 자료로 활용된다. ... Cloud computing 정의- 클라우드 컴퓨팅(cloud computing)은 인터넷 기반(cloud)의 컴퓨팅(computing) 기술을 의미한다.- 프로그램이나 자료를 인터넷으로
    리포트 | 13페이지 | 2,500원 | 등록일 2022.09.02
  • 관계형 데이터베이스에 대해 정의하고 구성 요소들에 대해 설명해 봅시다.
    주제 : 관계형 데이터베이스에 대해 정의하고 구성 요소들에 대해 설명해 봅시다.Ⅰ. ... , 행이 있으며 테이블은 릴레이션이나 엔티티라고도 불리며, 데이터를 행과 열이 있는 표 모양으로 나타낸 것이며 데이터베이스는 테이블이 담기는 저장소를 뜻하며, 하나의 DBMS내 여러 ... 관계형 데이터베이스란?상호관련된 데이터 포인트에 대한 엑세스를 저장하고 제공하는 데이터베이스의 한 형태입니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2021.03.13
  • 기업에서 이익 실현이 왜 중요한지를 내가 경영자라고 가정하고 설명해 보시오. 제2주 강의 주제인 손익계산서와 재무상태표 강의를 참고하고, 기업의 여러 이해관계자의 입장을 반영시켜 논술해 보시 (경영학개론)
    따라서 기업은 이익 실현을 전제로 이해관계자의 성취욕구를 실현하는 장으로서 공익에 유익해야 한다.따라서 다음과 같이 엔티티를 재정의하고 싶습니다. ... 다양한 이해관계자의 만족, 이것이 회사의 교과서적인 목적이다. ... 기업 내에 존재하는 자원을 외부 환경에 가장 효율적으로 배분하여 공익과 사익의 가치를 극대화하는 기관으로 정의된다면 기업이 정답이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.07.20
  • 데이터전략경영 ) 메타버스에 대해 알아야 할 모든 것
    1992년 스티븐슨의 Snow Crash 메타버스의 첫 등장 대규모 가상환경 으로서의 메타버스 사용자가 디지털 아바타 를 통해 상호작용 하는 물리적 세계 이후 메타버스에 대한 광대한 정의 ... 메타버스 개발 세 단계 사이의 관계 복제된 가상환경 물리적 대응물의 속성 반영 ex) CAD, AI 지원산업시스템 등 기본 컨텐츠 생성에 중점 아바타, 디지털 세계 내에서 컨텐츠 제작에 ... 충분한 계산 리소스, 광학, IMU 구동, 초전기 적외선, 전자기, 정전용량 등의 기술 필요 이를 통해 메타버스의 디지털 엔티티와 상호작용할 수 있음.
    리포트 | 29페이지 | 5,000원 | 등록일 2023.01.17
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감