• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

AVR ATMEGA128을 이용한 디지털 시계 설계

*현*
개인인증판매자스토어
최초 등록일
2009.02.09
최종 저작일
2008.07
58페이지/ 압축파일
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

보고서 1부 + 발표용 PPT 1부 + 소스파일 로 구성되어 있으며,

모든 소스는 직접 코딩하였고, 보고서에 상세한 주석이 달려있어서, 초보자라도 쉽게 이해할 수 있도록 되어있습니다.

AVR ATMEGA128 KIT의 버튼, TEXT LCD, GRAPHIC LCD, LED를 입출력 장치로 이용하여
디지털 시계, 스톱워치, 시간 수정, 날짜 수정 등의 기능을 구현한 프로젝트입니다.

잠깐동안 대충 만든 보고서가 아니고,

몇일동안 밤새 가면서 작성한 보고서입니다.

참고하시면 분명히 도움 되실 거에요.



* 추가사항.

헤더파일이 없다는 분들이 계셔서 engfont.h 헤더 파일은

아래의 블로그에 올려놓았습니다.
( http://blog.naver.com/cutebyul )

나머지 헤더파일은 AVR EDIT 프로그램 설치하시면

기본 헤더파일로 포함되어있습니다.

목차

1. 설계 배경 및 목표

2. 설계 내용과 방법
- 디지털 시계의 구성
- 디지털 시계의 동작
- DOT MATRIX 제어
- GRAPHIC LCD 및 TEXT LCD 제어
- A/D CONVERTER 제어
- Timer Interrupt 제어


3. 설계 결과
- 프로그램 소스 분석

4. 결론
- 프로젝트 후기

본문내용

1. 설계 배경 및 목표



- 설계 배경

한 학기 동안 학습한 AVR KIT 내의 여러 가지 입출력 장치들을 응용하여서 디지털 시계의 입출력에 응용하게 됩니다. 이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 설계 합니다.
출력 매체도 Text LCD에만 국한되지 않고 LED, Graphic LCD, DOT MATRIX 등 KIT 내의 다양한 장치들을 활용하여서 예전 디지털 시계의 단순함을 없애며, 외부 switch interrupt를 이용하여서 시간 및 날짜 등을 수정하도록 한다면 실제 디지털 시계와 흡사하게 구현 할 수 있을 것입니다.
이 프로젝트를 통하여서, 수업시간에 배운 것들을 실제의 모델을 만드는데 사용함으로써 응용력을 넓힐 수 있으며, 한 학기 동안 학습한 대부분의 것들에 대해서 확실하게 정리할 수 있는 기회를 가질 수 있을 것입니다.




- 설계 목표

1. 기존의 디지털 시계에 년, 월, 일(요일), 시간(AM/PM), STOP WATCH 기능을 추가 한다.
2. STOP WATCH에 순위를 매길 수 있도록 하며, 순위는 Graphic LCD를 통하여 출력한다.
3. 외부 switch interrupt 및 A/D CONVERTER를 이용하여 날짜 및 시간을 수정한다.
4. 총 4개의 SWITCH를 사용하여 시계 조작의 편리성을 갖춘다.


2. 설계 내용과 방법
1.1. 디지털 시계의 구성

1) 입력

외부 인터럽트를 이용하는 switch 1개, A/D CONVERTER를 이용하는 switch 3개, 총 4개의 switch로 입력을 받는다. switch를 이용하여 모드간의 전환, 날짜&시간 수정, stop watch 조작 등을 할 수 있다.

참고 자료

없음

압축파일 내 파일목록

기말 프로젝트 보고서.hwp
기말 프로젝트_발표PPT.ppt
final_project.c

자료후기(3)

*현*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계 9페이지
    실습 내용ATmega128 마이크로컨트롤러를 이용한 타이머를 구현하여 디지털 ... 및 설계6주차 실험보고서실습8 : Timer를 이용한 디지털 시계 < 결과 ... 시계의 기능을 설계해본다.
  • 실험4 Digital Clock 프로젝트 보고서 25페이지
    Timer를 이용한 디지털 시계이다.Mode 1 : Stop WatchTime을 ... ATMEGA 128 라이트 모듈로 Digital Clock, Stop Watch ... 주의 사항- 문제점 및 해결방안은 첨부파일로 유첨함.- ATmega128
  • 마이크로프로세서+6주차+예비보고서 타이머와 카운터 8페이지
    ATMega128또한 클럭을 나타내는 장치가 있으며 이를 내부clk라 한다 ... 디지털 시계를 구현하는 방법을 알아보며 이의 발생 원리 이해하고 제어 방법을 ... 실험목적ATmega128 마이크로컨트롤러의 타이머/카운터 기능을 이용하여
  • 홍익대학교 실험4 마이크로프로세서 실험 프로젝트 9페이지
    ATMEGA 128 라이트 모듈로 Digital Clock, Stop Watch ... 기본적인기능으로써 Timer를 이용한 디지털 시계이다.Mode 1 : Stop ... 고찰카운터와 인터럽트를 사용하여 디지털 시계를 만들고 스톱워치,
  • AVR 마이크로 프로세서 ATmega128이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함) 29페이지
    기능으로써 Timer을 이용한 디지털시계이다.Mode 1 : Stop WatchTime을 ... ATmega128 라이트 모듈로 Digital Clock, Stop Watch ... 분석총 5가지 Mode로 설계하였으며, button 1을 이용하여 Mode를
더보기
최근 본 자료더보기
탑툰 이벤트
AVR ATMEGA128을 이용한 디지털 시계 설계
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 16일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:53 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기