• 통큰쿠폰이벤트-통합

실험 14_캐스코드 증폭기 결과보고서

jk06224
개인인증판매자스토어
최초 등록일
2023.01.31
최종 저작일
2022.11
8페이지/ 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

"실험 14_캐스코드 증폭기 결과보고서"에 대한 내용입니다.

목차

1. 실험 개요
2. 실험 절차 및 결과 보고
3. 고찰 사항
4. 검토 및 느낀점

본문내용

1 실험 개요
이 실험에서는 MOSFET을 이용한 캐스코드 증폭기의 동작 원리를 공부하고, 실험을 통하여 특성을 측정하고자 한다. 캐스코드 증폭기는 공통 소오스 증폭기보다 높은 전압 이득을 얻을 수 있어서 널 리 사용되고 있다. 이 실험에서는 캐스코드 증폭기의 입력-출력 특성 곡선을 구하고, 소신호 등가 회로의 개념을 적용하여 전압 이득을 구한 후, 이를 실험에서 확인하고자 한다. 또한 증폭기의 DC 동작점을 잡아주기 위한 바이어스 회로에 대해서도 공부하고, 실험을 통하여 동작을 확인한다.

2 실험 절차 및 결과 보고

1. 실험회로 1([그림 14-8])에서 값을 12V, 값을 0V, 값을 2V, 값을 4V로 두고, 저항값이 2k인 경우 의 DC 값이 5V가 되도록 하는 값을 결정한다. 이 경우 MOSFET의 각 단자들의 전압() 및 전류()를 구하고, [표 14-1]에 기록한다. 각 단자들의 전압을 바탕으로 , 가 포화 영역에서 동작하는지 확인하시오.

<중 략>

(1) 캐스코드 증폭기가 공통 소오스 증폭기에 비해서 가지는 장점과 단점을 논하시오.
: 장점으로는 공통 소오스 증폭기는 입력 저항이 크고, 출력저항이 입력 저항에 비해 작아서 전류 신호를 출력하기에 적합하고, 입력 저항이 상대적으로 낮은 공통 게이트 증폭기를 연결하여 전류 신호를 출력하기에 유용하면서 전압이득을 크게 출력할 수 있다. 단점으로는 출력전압 스윙이 감소하는 단점이 있다. 그러므로 저전압 회로에서는 사용하기 어렵다.
(2) 캐스코드 증폭기의 출력 저항은 트랜지스터 자체의 출력 저항에 비해서 얼마나 증가하였는가?: 배 만큼 증가하였다.
(3) 예비 보고 사항에서 PSpice를 이용하여 구한 전압 이득과 실험을 통해서 측정한 전압 이득 사이의 차이가 발생하는 원인을 설명하시오.
: 정확한 이유는 파악하지 못하였으나 예상되는 이유로는 PSpice로 구하는 전압이득은 값을 근사하지않고 완전하게 다 계산을 하지만, 실험측정값을 통하여 구한 값은 어느 정도 근사화 하여 구하기 때문에 값이 틀린 것으로 예상된다.

참고 자료

단계별로 배우는 전자회로 실험(이강윤 저자)

자료후기(1)

jk06224
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 실험 14_캐스코드 증폭기 예비 보고 21페이지
    예비 보고실험 14_캐스코드 증폭기제 출 일:과 목 명:담당교수:학 교: ... -16]과 같은 형태로 결과보고서에 기록하시오실험절차 4를 위한 회로도[표 ... -17]과 같은 형태로 결과보고서에 기록하시오.실험절차 7번을 위한 회로도
  • 실험14_전자회로실험_결과보고서_캐스코드 증폭기 8페이지
    제목- 캐스코드 증폭기실험 결과- 회로 사진 및 결과 사진-> 책과 달리 ... 결과 값이다.검토 및 평가- 고찰사항캐스코드 증폭기가 공통 소오스 증폭기에 ... 수정된 실험 절차에서는 저항을 측정하지 않았기 때문에 캐스코드 증폭기와 및
  • 전자공학실험 14캐스코드 증폭기 A+ 예비보고 17페이지
    예비 보고실험 14_캐스코드 증폭기과 목 명:전자공학실험1 실험 개요-이 ... -16]과 같은 형태로 결과 보고서에 기록하시오.입력 신호의크기(mV)입력 ... 회로■ 실험회로 1 : 캐스코드 증폭기 회로■ 실험회로 2 : 캐스코드
  • 전자회로실험) ch.14 캐스코드증폭기 예비보고 10페이지
    ]와 같은형태로 결과 보고서에 기록하시오.(5) 실험회로 1의 입력 저항과 ... ]와 같은형태로 결과 보고서에 기록하시오.(8) 실험회로 3의 입력 저항과 ... 이전에 공부한 common-gate 증폭기의 특성으로부터 캐스코드 전류를
  • 전자공학응용실험 - 캐스코드증폭기 예비레포트 9페이지
    (M2의드레인전압)의 파형을 캡처하여 [그림14-16]와 같은형태로 결과보고서에 ... (M2의드레인전압)의 파형을 캡처하여[그림14-17]와 같은 형태로 결과보고서에 ... 실험 제목 : 실험 14. 캐스코드 증폭기2.
더보기
최근 본 자료더보기
탑툰 이벤트
실험 14_캐스코드 증폭기 결과보고서
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:18 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대