• 통큰쿠폰이벤트-통합

Xilinx를 이용한 7-Segment 제어

*상*
최초 등록일
2010.04.10
최종 저작일
2008.12
14페이지/ MS 워드
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

로봇의 D-H Parameter를 이용하여 실제 스테레오비젼 로봇의 예로 정역기구학을 풀이한다.

목차

1. Introduction 1
1) Summary 1
2) Port Design 1
2. Source Code Analysis 2
3. Design process 4
1) Source Code Paste & Synthesize 4
2) Pin Assignment 8
3) Program Download 10
4) Test Picture 12

본문내용

HDL 언어를 이용하여 하드웨어를 설계한다는 것은 상당히 많은 이점을 갖고 있는데, 이중 하나는 모듈의 확장성이다. 미리 만들어두었던 모듈은 별도의 변환 작업 없이 다른 모듈에 붙여 이용할 수 있다.
이번에는 ARM Study Group의 FPGA 보드에 부착되어 있는 7-Segments를 제어할 것인데, 일정한 시간을 기준으로
이전 기술 문서에서 기초적인 클럭 분주 회로를 기술하였고, 모듈을 설계하였다.
클럭은 0.5 초에 한번씩 System LED를 점멸하는 기능을 가지고 있었다.
이번 Xilinx FPGA 기술 문서는 이전 기술 문서에 제작한 모듈(Name : FirstExam 소스 첨부) 0.5 초마다 7-Segments의 숫자를 증가 시키는 회로를 설계한다.
,

참고 자료

없음

이 자료와 함께 구매한 자료

*상*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 시립대 전전설2 Velilog 결과리포트 7주차 9페이지
    및 고찰실험 목적이번 실험은 HBE-COMBO II 내에 있는 7-Segment에서 ... ISE 프로그램HBE-Combo Ⅱ-DLD실험 과제Dynamic 7 Segment ... 두 가지 과제를 설계해봄으로써 7-Segment와 Piezo의 사용법과 더
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습7 [결과레포트] 20페이지
    프로그램을 이용하여, PreLab에서 설계한 7-Segment와 PIEZO를 ... 1개의 7-Segment제어하는데 사용되는 I/O는 8개이다제어되는 7 ... 7-SegmentStatic 7-Segment의 구조를 제어하기 위해서
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre 8페이지
    편리하게 제어할 수 있다.7-Segment DecoderPiezo Buzzer주파수에 ... 장치로, 7개의 Parts로 구성되어 있어 7-Segment라고 불린다.16진수를 ... Chip)Pre-Lab실험 방법[실험 1] Static 7-Segment
  • 전자전기컴퓨터설계2_HBE-COMBO ll VerilogHDL 실습7[예비레포트] 14페이지
    1개의 7-Segment제어하는데 사용되는 I/O는 8개이다제어되는 7 ... 7-SegmentStatic 7-Segment의 구조를 제어하기 위해서 ... 할 것인지를 결정하는 방법으로 Dynamic 7-Segment제어기가
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [결과레포트] 22페이지
    Segment ay Clear : 전체 화면을 지우고 어드레스 카운터를 DD-RAM ... ) for this LabText LCDLCD 창에 문자를 표시하는 장치7- ... 프로그램을 이용하여, PreLab에서 설계한 Text_LCD에 학번과 이름을
더보기
최근 본 자료더보기
유니스터디 이벤트
Xilinx를 이용한 7-Segment 제어
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대