• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

신호등제어기 Verilog

*숙*
최초 등록일
2009.04.21
최종 저작일
2006.04
5페이지/ 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

Verilog로 구현한 신호등제어기(State Diagram포함)
State diagram, 회로도, source code, modelsim simulation포함

목차

【신호등 제어기】
① State Diagram
② Verilog Code
③ 시뮬레이션 결과

본문내용

【신호등 제어기】
문제 - 신호등 제어기
교차로에서 신호등이 4개가 있음.(좌회전은 고려하지 않음)
신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초
동안 유지하도록 신호등을 제어하시오.

① State Diagram
북쪽과 남쪽의 신호등이 함께 움직이고 동쪽과 서쪽의 신호등이 함께 움직인다.
따라서, 4개 신호등은 2개의 신호등으로 제어기를 만들면 된다. 즉,제어기 2개 쓰면 4개까지 control가능하다.
S0 상태 -> 북쪽 녹 / 동쪽 빨
S1 상태 -> 북쪽 주 / 동쪽 빨
S2 상태 -> 북쪽 빨 / 동쪽 초
S3 상태 -> 북쪽 빨 / 동쪽 주

중략..

③ 시뮬레이션 결과
시뮬레이션에 있어서 임의적으로 파라미터로 선언한 a,b를 2초와 10초가 아닌 200ns, 1000ns로 선언하였다. (시뮬레이션 단위는 ns이므로- 편의상)

처음에 S0인 상태가 1000ns동안 유지되다가 S1인 상태가 200ns로 유지, 그 다음 S2인 상태로 바뀌는 것을 확인할 수 있다. 또한 S1인 상태로 바뀌었을 때, count값은 초기화 됨을 확인할 수 있다.

참고 자료

없음

자료후기(1)

*숙*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
신호등제어기 Verilog
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 17일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:45 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기