• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 1-20 / 419건

  • 시립대 전전설2 A+ 2주차 예비레포트
    = 4’b1001, E = 4’b1101, F = 4’b10x1- ~D //결과 값: 4’b0110- D & E //결과 값: 4’b1001- D | E //결과 값: 4’b1101 ... - D ^ E // 결과 값: 4’b0100- D ~^ E //결과 값: 4’b1011- D & F // 결과 값: 4’b10x1assign문은 bitwise 연산자를 다수 병합 또는 ... 그림의 빨간 선 부분)wire W1, W2, W3;assign 문과 bitwise operator로 입출력 신호를 연결한다.assign W1 = A^B;assign W2 = Cin &
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    LOGIC을 거쳐 들어가게 된다.DR 제어를 위해 사용한 명령어 제어식을 찾아 정리하면 다음과 같다.DR_LD : (D0+D1+D2+D6)T4DR_INR : D6T5CLR : 없음BUS ... GATES 설계가 주목적이다.CONTROL LOGIC GATES에서 만든 제어 신호를 각 소자에 뿌려주는 것을 설계하면 된다.이번 설계에서는 3-state-buffer인 74244 ... 사용될 프로그램은 IR로 이동한다.IR 밑에 명령어 분석 회로 연결되어 있어 해석을 진행하는 것이 Decoding 과정이다.HW의 소자 구성은 정해져 있기 때문에 CONTROL LOGIC
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 시립대 전전설2 A+ 3주차 예비레포트
    4’b0111> E**F는 167) Relational & Logical & Equality OperatorsC언어에서와 같은 의미를 가진다.8) Conditional Operator조건연산자 ... = 6; E = 4; F = 2; // D, E, F는 integer- 위와 같이 선언되었을 때> A*B는 4’b1100> D/E는 1 (소수점은 버림)> A+B는 4’0111> B-A는 ... 예상 결과1) 실습 1logic_gate2.vtb_logic_gate2.vSimulation2) 실습2xor_gate.vtb_xor_gate.vSimulation3) 실습 3four_bit_xor.vtb_four_bit_xor.vSimulation4
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 시립대 전전설2 A+ 5주차 예비레포트
    .vtb_logic2.vSimulation4. ... 전자전기컴퓨터설계실험 II예비보고서Lab-05 Encoder and Multiplexer과목: 전자전기컴퓨터설계실험 II담당 교수: 교수님학과: 전자전기컴퓨터공학부학번:이름:제출일: ... )을 사용하여 아래의 진리표를 가지는 논리회로를 간단히 assign문을 이용하여 설계하고, 테스트벤치 수행 후 장비 동작확인입력: a, b, c, d -> BUS SW 1, 2, 3
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • sr latch,D,T flip-flop 예비레포트
    Computational logic과 다르게 이전 상태를 유지하여 저장할 수 있으며, 각종 카운터 회로, 레지스터, RAM 등을 구성하는 기본요소이며, 더 나아가 CPU를 구성하는 ... 그러나 PAL이 일반적으로AND - OR 게이트로 된 구조적인 어레이를 취함에 따른 회로 구현의 효율성이 낮은 것에비하여 다양한 형태의 디지틀 회로를 구현할 수 있는 논리 및 연결 ... -d flip flopdelay flipflop은 입력 d를 그대로 출력한다. d플립플롭은 rs플립플롭의 변형으로 s와 r을 inverter 로 연결하여 입력에 d라는 기호를 붙인
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 시립대 전전설2 A+ 6주차 예비레포트
    Flip-Flop오직 하나의 데이터 입력을 가짐클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함6) Register and Data transfer플립플롭은 데이터를 저장하는 용도로 ... _5bit.vtb_ logic_5bit.vSimulation4. ... ) J-K Flip-FlopJ=K=1인 조건을 제외하고, S-R 플립플롭과 동일한 상태를 제어함.J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전시킴.5) D
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • NAND게이트 자세히 설명하고 NAND게이트를 사용하는 이유 NAND 와 NOR 게이트로 회로를 구성하는 경우가 많은데 어떤 점 때문인지
    Weste and D. M. ... Morris Mano and Michael D. ... Roth and L. L. Kinney, "Fundamentals of Logic Design," 7th Edition, Cengage Learning, 2013.[5] N.
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.05
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    Arithmetic Logic Unit (ALU)- Arithmetic Logic Unit은 덧셈, 뺄셈 등의 산술 연산과 AND, OR 등의 논리 연산을 수행하는 회로를 의미한다. ... Circuit- Logic Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다. ... Simple Computer Architecture- Simple Computer는 각각의 Microoperation을 수행하기 위한 Data Path와 Microoperation의
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실습 3: 행위수준 모델링을 이용하여 2-input AND 게이트 설계d. 실습 4: 2-input XOR 게이트 설계e. ... 이는 회로를 Computer가 생성하다보니 어쩔수 없이 생기는 문제이다. ... 또한 ;(semicolon) 기호를 사용하여 문장을 종결해줘야 한다.- Line 4: and g1(A, B, D)에서 A는 output을 의미하는데, module Exmpl_3에서
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    TTL 7486 Connection Diagram TTL 7486 Function Tablec. 7408- AND Gate 표준 IC로, 4개의 2-input AND 게이트가 들어있는 ... Disadvantage- A/D 변환기와 D/A 변환기가 별도로 필요하다.- 연속 아날로그 신호를 디지털 신호로 변환할 필요가 있을 때, 양자화 오차를 낸다. ... 한편, 최근에는 각 /D)와 DAC(Digital-to-Analog Converter, D/A)이다.- 범용 디지털 컴퓨터가 디지털 시스템에서 가장 잘 알려진 예라 할 수 있으며,
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    세 스위치 모두를 열 경우에는 모두 LOGIC 1이 나오므로 모든 LED가 불이 들어올 것이다.참고문헌M. Morris Mano, Michael D. ... JK플립플롭, D플립플롭 등을 이용한 회로가 대표적인 순차회로이다. 이 플립플롭들의 출력값은 다시 피드백되어 Input과 함께 output에 영향을 미친다.3. ... 3출력 / 4,5입력 - 6출력 / 7 GND / 13,12입력 - 11출력 / 10,9입력 - 8출력 / 14 Vcc 인 것이다.OR Gate 7432, XOR Gate 7486, AND
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • [테스트영어연습]교재 210~242페이지의 Supplementary Materials를 골고루 이용하여 Listening Comprehension 유형으로 3문제, Grammar 유형으로 3문제, Vocabulary 유형으로 4문제, Reading Comprehension 유형으로 5문제, 총 15문제를 만들고, 각 문제마다 상세한 정답 해설을 작성하시오.
    (d) the lines between traditional work of art and new media work created using computer has become clearer ... 답은 (b)이다.2) it may not seem reasonable or logical, superstitions are belief that certain object, sign ... 답은 (b) 이다.4) Computer art is any art which computer play a role in production or display of artwork.
    방송통신대 | 9페이지 | 3,000원 | 등록일 2022.07.08
  • 고려대학교 객체지향프로그래밍 A+ 기말고사 치팅시트
    Everything that a computer can do, 2. ... polymorphism for the same functions with different argument data types / Parametric polymorphism for the same logic ... table, Turing complete or Turing equivalent, Real computer is a linear bounded register machine(거의 만족
    리포트 | 2페이지 | 2,000원 | 등록일 2023.07.02
  • 전전설2 실험 1 예비보고서
    기억하는 회로를 가지고 있지 않은 게이트들의 집합예 : 덧셈기, 반가산기, 전가산기, 디코더, 인코더, 멀티플렉스, 디멀티플렉서순차회로(sequential) : sequential logic ... 순차회로에 대하여 차이점을 조사하고 여러 가지 예를 들어 설명하시오.정보를 저장하는 state를 가지느냐의 차이를 가진다.조합회로(combinational) : combinational logic ... blogId=project7&logNo=220438765241&proxyReferer=http:%2F%2F210.117.121.212%2Ftm%2F%3Fa%3DED%26b%3DWIN
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    Verilog 언어를 이용한 Sequential Logic 설계예비레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 ( ... 가장 간단한 플립플롭이다.D 래치와는 달리 D 플립플롭은 입력이 아닌 클럭에 반응하여 출력이 변한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 서울시립대학교 전전설2 4주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Pre-Lab Report- Title: Lab#04 Combinational Logic 1(Arithmetic Logic and Comparator)담당 교수담당 조교실 험 일학 ... /Programmable_Logic/Verilog_Always_and_Initial" https://en.wikibooks.org/wiki/Programmable_Logic/Verilog_Always_and_Initial ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 9가.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    Morris Mano, Michael D. Ciletti(2016). ... Materials and Methods가. 실험 장비HBE Combo-II SE3. ... Pre-reportCombinational Logic 2날짜 :학번 :이름 :1. Introduction가.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로및실험 레포트
    docId=1077543&ref=y" 논리합(logical sum)을 구현한 것이다. 게이트의 입력을A, B,출력을C라 하면 의 논리식을 구현한 것이다. ... docId=1077532&ref=y" 논리곱(logical conjunction)을 구현한 것이다. 게이트의 입력을 A, B, 출력을 C라 하면 의 논리식을 구현한 것이다. ... D : 10진수h, H : 16진수- 진수에 대응 되는 값2진수 : 0, 1, x, z8진수 : 0~7, x, z10진수 : 0~9(x, z 사용 불가)16진수 : 0~9, a~f
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    나눗셈기 A A B 출처 : Digital Design and Computer Architecture _ D.M Harris, S.L. ... 출력 : 각각의 사칙연산의 결과값을 배타적으로 출력하기 위한 출력 신호와 2 진수 6bit 값의 출력을 10 진수 값으로 바꾸어 FND 에 구현 " ALUs(Arithmetic logic ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 부울함수 xy+xz 을 Boolean Algebra를 사용하여 canonical form으로 된 POS 형태로 되는 과정을 자세히 표현하시오.
    정리하면,위와 같은 결과가 되며 이것이 바로 xy+xz 의 POS정규 형태이다.이는 다음과 같이 표현할 수도 있다.참고문헌1 김창환 외, 컴퓨터구조, 북두출판사, 20202 길벗 R&D ... , 2020 시나공 정보처리기사 필기, 길벗, 20193 Logic circuit simplification (SOP and POS), 2020년 11월 29일 접속, Hyperlink
    리포트 | 4페이지 | 2,500원 | 등록일 2020.12.23
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대