• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 161-180 / 419건

  • 아주대 논회실 실험6 예비보고서.hwp
    또한 클럭 파형으로부터 오는 변화하는 지점을 알기위해서 CLK를 스파이크 신호를 바꾸는 작업을 하는데 이것이 모서리 검출기 회로이며 상승모서리 검출기 회로인 경우에는 NOT과 AND게이트를 ... 따라서 C에 따라상관없이, D=0이면 Q값이 0되고, D=1이면 Q=1이 된다. 74574는 핀넘버가 복잡하므로 조심해야하며, 클럭파형을 알아서 클럭 디텍터해주므로, 따른 gate의 ... 사용하고 하강모서리 검출기를 설계할때는, NOT과 NOR게이트를 이용해서 만든다.3) 그 외 중요한것들① 조합논리(Combinational logic): 현재의 인풋값으로만 출력이
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 계산기(Calculator) 설계 (쿼터스 설계)
    그리고 각 연산의 결과는 MUX로 가게 된다.Register & MUXMUX는 74157을 사용하였고 , Register는 74194를 사용하였다. ... 4bit], SIR[1bit], START[1bit]내부 Register A[4bit], B[4bit], IR[1bit], C[1bit] 를 갖는다.ALU 부분은 FA를 이용하여 감산Logic을 ... D 플립플롭은 클럭에 동기화되어 상승에지에서 입력 값에 따라 값이 변하게 되고 출력을 그대로 내놓는다.
    리포트 | 7페이지 | 2,000원 | 등록일 2015.01.27
  • 전전컴설계실험2-8주차결과
    -8주차 Post Lab#6-Sequential-Logic-Design-Ⅰ(Flip-Flop, Register and SIPO)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... 클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달한다.(3)Hypothesis of this Lab & Basis of the assumption4-bit Shift Register는 ... 검색된 FPGA 모듈에 4-bit Shift Register (Included Enable)Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Sequential-Logic-Design-Ⅱ-FSM and Clocked-Counter
    Post-Lab Report- Title: Lab#07 Sequential_Logic_Design_Ⅱ@ FSM and Clocked_Counter-담당 교수담당 조교실 험 일학 번이 ... Source를 작성해 본 후 실험결과를 확인해 본다.상품1 가격은 10cent, 상품2 가격은 15cent, 동전을 반환하면 0¢로N : nickels(5 cent) : 버튼 스위치 1D ... Check agreement between the hypothesis and the result나.
    리포트 | 25페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • CPU 설계 과제 (컴퓨터 구조 A+받은 자료)
    연결하였다.AC (누산기 레지스터)표를 참조하여 AC의 내용을 변경시키는 문장을 찾아내면AND`````````D_0 `T5`:`AC ```larrow``AC LANDDRADD``` ... state-buffer의 enable 신호와 레지스터의 74LS163의 /LOAD신호를 이용하여, 버스의 데이터를 읽어들일 것인지, 버스에 데이터를 전송할 것인지를 결정하게 된다.(74LS163의 Logic ... _{ 0}+D _{ 1}+D _{ 2}+D _{ 6)}T _{ 4}I`NC(DR) = D _{ 6}T _{ 5}BUS(DR)=(D _{ 1}+D _{ 2})T _{ 5}+D _{ 6
    리포트 | 17페이지 | 4,000원 | 등록일 2015.01.27
  • 전전컴설계실험2-12주차 결과
    -Entry mode set : 데이터를 read하거나 write할 경우에 커서의 위치를 증가시킬 것인가(I/D=1) 감소 시킬 것인가(I/D=0)를 결정하며, 또 이때 화면을 시프트 ... 검색된 FPGA 모듈에 Text LCD Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 문자를 표시하는 장치이다.7-Segment 등의 표시 장치와 다르게, Text LCD에는 액정에 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 Logic
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 디지털논리회로
    circuit using only two Exclusive-ORs and 3 two-input NANDs.truth tables:c:s=c=logic circuit6. ... Make the truth table of a full adder, minimize the equations by using K-map, and implement the logic ... We have a computer which stores binary signed numbers in two's complement form.
    시험자료 | 2페이지 | 1,500원 | 등록일 2012.12.07
  • 전전컴설계실험2-10주차 예비
    검색된 FPGA 모듈에 7Segment With Piezo Logic이 설계된 bit 파일을 프로그래밍한다.12. ... the 74LS193A counters 지난 실험 마지막 과제였던 72LS193A counter의 출력 값을 FND와 FND Array에 표시하시오.Parallel Load Data D~ ... 검색된 FPGA 모듈에 4-bit up/Down counter With 7Segment Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 실험6결과 Latch&FF
    Clock에 해당하는 입력 C가 0일 경우, D에 관계 없이 Q(t-1)의 값을 출력하며 이전 값을 유지하는 회로이다.(0 1 0) (1 1 1)3) J-K F/FJ-K F/F은 logic ... [실험6] Encoder & Decoder1. 실험 결과1) R-S F/F가장 간단한 F/F인 R-S F/F을 구성하였다. ... 회로상으로 AND gate를 추가하여 금지상태를 해제함으로써 단점을 보완한 방식이다.두 입력이 모두 HIGH일 경우에도 큰 문제없이 이전 값의 보수를 유지하고 있는 상태를 나타낸 오실로스코프
    리포트 | 7페이지 | 3,000원 | 등록일 2014.05.13
  • 전자전기컴퓨터설계실험2(전전설2) 1주차예비
    docId=590305&cid=42340&categoryId=423403)저항 및 다른 그림들 http://www.scienceall.com/%ec%bd%98%eb%8d%b4%ec% ... TTL (transistor transistor logic)TTL이란 반도체를 이용한 논리 회로의 대표적인 예로 즉, 트랜지스터와 트랜지스터를 조합한 논리 회로를 말한다. ... docId=824045&cid=42344&categoryId=423442)Half Adderhttp://terms.naver.com/entry.nhn?
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.03.22
  • 전전컴설계실험2-11주차 결과
    -Display ON/OFF control : 화면 표시를 ON/OFF 하거나(D), 커서를 ON/OFF하거나(C), 커서를 깜박이게 할 것인지(B)의 여부를 설정 한다. ... 검색된 FPGA 모듈에 Text LCD (학번,이름) Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 검색된 FPGA 모듈에 Text LCD (학번,이름) with Shift Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Computer Aided Design (CAD)/ Service Mapping
    Their efforts created logic simulators, test program generators, and place and route software for gate ... interactive graphics manufacturing system.d. ... Computer Aided Design (CAD) 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.10.05
  • 논리회로 동작, 논리회로 종류, 논리회로 분류, 논리회로 명령, 논리회로 간소화, 논리회로와 부울대수, 논리회로와 2단논리회로, 논리회로와 조합논리회로, 논리회로와 컴퓨터논리회로
    BINARY LOGIC AND GATES1) Binary Logic2) Logic Gates2. ... 이 회로에서는 입력 출력 모두 0V를 0, 5V를 1로 한다.입력이 모두 0, 즉 0V일 때는 D1, D2의 어는 것에도 전류가 흐르지 않으므로 출력은 어스(earth)와 같은 0V ... , 즉 0이 된다.입력의 어느 하나라도 1, 즉 5V일 때는 D1, D2의 어느 하나에 전류가 흐르므로, 출력은 입력과 같은 5V, 즉 1이 된다.이 OR회로의 진리값표를 나타낸다.
    리포트 | 18페이지 | 7,500원 | 등록일 2013.02.22
  • [논리회로] 논리회로 - Chapter 1 PROBLEMS solution
    {R E P O R TSUBJECT : PROBLEMS solutionCOURSE TITLE : LOGIC ANDCOMPUTER DESIGN FUNDAMENTALSPROPOSITION ... Day : Mon Sep 13, 1999PROFESSOR : JaeYoung ChoiPRESENTER : Computing.Information Science Univ.9443036 ... Add, subtract, and multiply the following numbers without convertingto decimal:(a) (715)8 and (367)8
    리포트 | 3페이지 | 1,000원 | 등록일 2004.11.06
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    h67’b000001064’h77’b111100074’h87’b000000084’h97’b001100094’ha7’b0001000a4’hb7’b0000011b4’hc7’b1000110d4 ... 4-bits RCARTL Viewer1-bit full adder 4개로 구성되어있고, full adder는 또 2-input NAND gate와 2-input XOR gate인 logic ... 또한 반가산기는 XOR 게이트와 AND 게이트로 구성되어 있다.
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • 컴퓨터의이해1공통)1. 본인이 사용 중이거나 또는 최신 스마트폰을 1개 선정하고 아래의 사항을 A4 용지 2페이지 이내로 서술하라.
    참고자료고현철, 안드로이드의 모든 것 SDK, 한빛미디어, 2012.박정배, 스마트폰 이용 행태로 알아보는 향후 국내 통신시장, Issue&trend, 2013조재호(2010). ... 엑시노스 5옥타 5410과 5420은 2013년 갤럭시 S4에 채용된 바 있다.삼성전자에 따르면 14나노 로직(Logic) 공정을 적용한 엑시노스 7420은 20나노 급 7410보다 ... DDR1이 데이터 입출력 통로가 각각 하나인 2차선 도로라고 하면 DDR3는 8차선, DDR4는 16차선 도로를 가진 D램이라고 볼 수 있다.1-4-3.
    방송통신대 | 14페이지 | 3,000원 | 등록일 2016.03.16 | 수정일 2016.04.04
  • 산술논리연산 (결과)
    이러한 컴퓨터의 연산은 크게 산술연산(arithmetic operation)과 논리연산(logical operation) 2종류로 나누어진다.2. 이 론(1). ... 서 론산술논리연산장치(Arithmetic Logical Unit)는 약자로 ALU라고 부르며 중앙처리장치(CPU)의 일부로써, 컴퓨터 명령어 내에 있는 연산자들에 대한 연산과 논리동작을 ... 시스템 제어공학과&아날로그 및 디지털 회로실험, 금요일 1,2,3,4교시차 례1.목 적2.서 론3.이 론4.실 험 기 기 및 부 품5.실 험 결 과 표6.오 차 요 인7.결 론8.참
    리포트 | 6페이지 | 1,000원 | 등록일 2012.07.03
  • (A+) 애플 소개
    모바일 제품은 iPad를 발표했으며 이 제품은 첫날 판매대수가 30만대를 판매하는 등 경이적인 판매 기록을 보이고 있다.(2)대표적 제품환경 분석(1)SWOT 분석- 지속적인 R&D ... 현재도 iPhone 악세사리 시장은 크게 성장하고 있는데 고객들은 자신만의 개성을 충족시키기 위해 악세사리로 자신만의 폰을 만들 수 있다.- 지속적인 R&D강화로 새로운 단말기 출시 ... 콘솔(명령어를 통한 컴퓨터 제어)에 바탕을 둔 시스템이었다고 한다면 Macintosh는 마우스를 통해 직관적으로 컴퓨터를 제어할 수 있는 시스템이었다.또한, Final cut, Logic
    리포트 | 12페이지 | 1,500원 | 등록일 2014.08.12
  • 실험3결과 ADD&SUB
    D는 차를 나타내는 출력, B는받아내림(borrow)을 표시하는 출력이다. ... 두 입력과 이전 계산에서의 내림수를 각각 하나씩 받아 논리차를 D에 출력하고 내림수를 B에 출력하는 회로이다. ... Arithmetic Logic Circuit, 즉 실제 논리연산회로에서는 adder를 수차례 중첩시키거나 다양한 기능을 더함으로써 회로의 목적에 맞게 여러 용도로 이용되기도 한다.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 의료전산일반
    AND, OR, NOT 등의 논리연산, 그리고 자리 이동 Shift Operation 등을 하는 장치이다. ... 그레이 코드 Gray Code | 다른 코드보다 에러율이 적어 입 · 출력장치나 A/D 변환기 및 주변장치에 많이 사용된다. ... 즉, 현재 자료의 포인터는 다음 자료의 위치를 가리킨다.자료의표현 및 연산장치자료의 표현ALU Arithmetic Logic Unit 의 기능 덧셈, 뺄셈, 곱셈 및 나눗셈의 산술연산과
    리포트 | 61페이지 | 2,000원 | 등록일 2014.06.04
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대