• 통큰쿠폰이벤트-통합
  • 통합검색(419)
  • 리포트(390)
  • 시험자료(18)
  • 방송통신대(4)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)

"Logic and Computer D" 검색결과 141-160 / 419건

  • 전전컴설계실험2-8주차예비
    -8주차 Pre Lab#6-Sequential-Logic-Design-Ⅰ(Flip-Flop, Register and SIPO)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... 클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달한다.(3)Hypothesis of this Lab & Basis of the assumption4-bit Shift Register는 ... 검색된 FPGA 모듈에 4-bit Shift Register Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 실험2 제08주 Lab06 Post 4 Bit Shift Register
    Logic circuit에 따라 Behavioral Modeling으로 Verilog Code를 작성하여 설계하고 Input d를 BUS_SW 1에, clk를 Button_SW 1에 ... Logic circuit에 따라 Behavioral Modeling으로 Verilog Code를 작성하여 설계하고 Input d를 BUS_SW 1에, clk를 Button_SW 1에 ... Result of this Lab1) Measured data and description of Lab 1(4-bit Shift Register)2) Measured data and
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험2 제10주 Lab08 Pre Counter & 7Segment & Piezo
    제작하고 그 출력값을 LED 대신에 FND와 FND ARRAY에 표시하는 동작회로이다. 0부터 15까지 각 숫자에 맞는 FND ARRAY가 출력되게 하기 위하여 a, b, c, d, ... Method1) Procedure of Lab 1① 4-bit Up Counter With 7 Segment의 Logic Circuit과 Truth table을 바탕으로 4-bit ... 4-bit Up Counter With 7 Segment를 programming한다.④ 실제로 장비를 구동시켜본 후, Prelab 결과인 Functional Simulation &
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • 전전컴설계실험2-11주차 예비
    -Entry mode set : 데이터를 read하거나 write할 경우에 커서의 위치를 증가시킬 것인가(I/D=1) 감소 시킬 것인가(I/D=0)를 결정하며, 또 이때 화면을 시프트 ... 검색된 FPGA 모듈에 Text LCD (학번,이름) Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 검색된 FPGA 모듈에 Text LCD (학번,이름) with Shift Logic이 설계된 bit 파일을 프로그래밍한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 실험02 MOSFET Digital Logic Gate(예비)
    예비보고에서 설계한D= {bar{A+BC}} 회로를 구성하여 진리표를 전압 값으로 완성한 후V_i.n,V_s,V_out 그래프를 도시하라.5. ... 이것 역시 친구들과 토의를 하고 해결되지 않으면 조교님이나 교수님께 여쭤보아야겠다.6 기타사항[Inverter][CMOS NAND Gate][CMOS AND Gate][CMOS NOR ... 예비보고서MOSFET Digital Logic Gate제출일 :학 번 :이 름 :1 실험 주제- Digital Logic Gate를 기초로 하여 MOSFET의 동작을 이해한다.2 실험과
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.11
  • 실험4예비 MUX&DMX
    [실험4] Multiplexer & Demultiplexer1. ... 각각 2개의 2진 input(nA0, nA1)을 받아들이고, 4개의 Active Low output (nY0 ~nY3)을 출력한다.Logic diagram4. ... 여기서, E가 enable 입력이고 S0, S1은 선택입력, 그리고 D0~D3이 4개의 데이터 입력이다.다음 표와 같이 입력을 가한 뒤에 출력 Y가 선택입력 S0, S1에 따라 멀티플렉싱
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 실험2 제11주 Lab09 Post TEXT LCD
    예를 들어 대문자 ‘D’를 표현하고 싶다면 DB[7:0]=8’b01000100;으로 설정해주어야 한다.2. ... Result of this Lab1) Measured data and description of Lab 1 (Text LCD)2) Measured data and description ... Lab 2에서는 Lab 1의 결과를 광고판과 같이 왼쪽으로 Shift하는 Logic을 설계하였는데 이 때, 필요한 State는 기존의 State에서 Clear_Disp 대신 Shift_Disp를
    리포트 | 19페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • EPROM을 이용한 조합형(Combinational)과 순차형(Sequential) 회로 디자인 보고서 (컴퓨터 아키텍쳐 실습 보고서)
    Computer Architecture LabLab 01: Combinational and Sequential Circuit Design Using an EPROM1. ... 실험 목표Sequential logic circuit을 review하고 EPROM을 programmable logic device로 활용할 수 있음을 안다.2. ... 내용4bit*4bit multiplier와 D flip-flop을 이용한 binary up counter를 이용하여, 4bit sequence generator를 구현한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.03.08
  • 카르노 맵의 이해
    *Logic Diagram위의 논리 방정식을 다음과 같이 회로설계도에 나타내었다. 각 AND게이트에 있는 번호는 위의논리 방정식에서의 항의 순서를 의미한다. ... ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 6-추가 분량 ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 8결론-논의-컨센서스 정리ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 15-무정의 조건 ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 16-Y의 역함수 ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ17-느낀점 & ... *Logic Equation*Logic Diagram*시뮬레이션 결과(Verilogger)6input*Logic Table *?
    리포트 | 20페이지 | 2,000원 | 등록일 2013.04.07
  • 실험2 제11주 Lab09 Pre TEXT LCD
    예를 들어 대문자 ‘D’를 표현하고 싶다면 DB[7:0]=8’b01000100;으로 설정해주어야 한다.2. ... Method1) Procedure of Lab 1① TEXT LCD에 학번과 이름을 나타내는 Logic을 설계하고 Verilog Code를 작성한다.② 필요한 State를 설정해주고 ... 작동한다.TEXT LCD의 각 제어 명령어 상태에서 RW, RS, DB0~7(Upper/Lower bit)의 값에 따라 동작하는 역할이 다르고 제어 명령어 표에 나타나 있는 것처럼 I/D,
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험3예비 Adder&Subtracter
    [실험3] Adder & Subtracter1. ... 사용 부품74HC04(NOT)74HC08(AND)74HC32(OR)74HC86(XOR)4. ... 목적- Logic gate를 이용해서 가산기(adder)와 감산기(subtracter)를 구성한다.- 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작 원리를 이해한다
    리포트 | 4페이지 | 2,000원 | 등록일 2014.05.13
  • Sequential-Logic-Design-Ⅰ-Flip-Flop, Register and SIPO
    Post-Lab Report- Title: Lab#06 Sequential_Logic_Design_Ⅰ@ Flip-Flop, Register and SIPO -담당 교수담당 조교실 험 ... 플립플롭오직 하나의 데이터 입력을 갖음.클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함.Hypothesis of this Lab & Basis of the assumption데이터의 ... 조건에서 모호한 출력상태를 갖지 않는 다는 동작을 제외하고, S-R 플립플롭과 동일한 상태를 제어함.J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전시킴.D
    리포트 | 21페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전전컴설계실험2-7주차결과
    선택D=0Output Z==D =0S1S0=11-Input D 선택D=1s 3 Code 0011Input BCD Code 0001Output Excess 3 Code 0100Input ... 검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... -7주차 Post Lab#5-Combinational-Logic-Design(Decoder, Encoder, Mux, BCD to Excess 3)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-9주차예비
    -9주차 Pre Lab#7-Sequential-Logic-Design(FSM and Clocked-Counter)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 ... You must obtain exact experimental data on ComboⅡSE B/D. ... 검색된 FPGA 모듈에 자판기동작회로Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-12주차 예비
    검색된 FPGA 모듈에 Text LCD Logic이 설계된 bit 파일을 프로그래밍한다.12. ... -Entry mode set : 데이터를 read하거나 write할 경우에 커서의 위치를 증가시킬 것인가(I/D=1) 감소 시킬 것인가(I/D=0)를 결정하며, 또 이때 화면을 시프트 ... 문자를 표시하는 장치이다.7-Segment 등의 표시 장치와 다르게, Text LCD에는 액정에 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 Logic
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-7주차예비
    -PreLab24 : 1 MUX 회로를 설계하시오입력A, B, C, D : 버튼스위치1, 2, 3, 4입력S : BUS Switch 1, 2출력Q : LED 1-실험 이론4x1 MUX ... 검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 검색된 FPGA 모듈에 BCD to Excess-3 Code Convertor Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Flip-flop and Counter Design
    -Many logic synthesis tools will not use any other type than D flip-flop and D latch. ... may represent the state of a sequencer, the value of a counter, an ASCII character in a computer's memory ... The flip-flops remember the machine's previous state, and digital logic uses that state to calculate
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 공학영어
    are designated by letters such as A, B, x and y.The three basic logic operations are AND, OR and complement.A ... search techniques, etc.3 그것이 당신이 한 가지의 검색 엔진에 찾을 수 없다면 그냥 다른 검색 엔진을 시도하세요.If you'd like to look at a ... are commonly found in digital computer systems.여러 논리게이트는 디지털 컴퓨터 시스템에서 주로 찾아낸다.Each gate has a distinct
    리포트 | 10페이지 | 1,500원 | 등록일 2013.03.30
  • 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    복호기와 부호기 (Decoder & Encoder) 예비보고서● 이론(1) 복호화(Decoding)2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate를 이용하여 디코딩한다 ... (3) 그림 7이 Excess 3 코드를 어떻게 만들어 내는지를 설명하라.위의 회로를 아래의 입력에 대한 출력의 Truth table을 구성해 보면 아래와 같다.입력출력543210D= ... diagram을 그려라(디코딩된 출력은 logic '1'이 되게 한다).그림 9. 3-stage binary counter이 회로는 3단 3진 카운터로 십진수로 0~7까지 이진수로
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 전전컴설계실험2-6주차예비
    -6주차 Pre Lab#04-Arithmetic-Logic and Comparator학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.10.7 ... -감산기전감산기는 입력변수 3자리의 뺄셈에서 차(D)와 빌려오는 수 (B)를 구하는 것이다. 즉 윗자리로부터 빌려온 값을 포함하여 3 Bit의 뺄셈을 할 수 있는 회로를 의미한다. ... -6주차 실험--목차-서론(Introduction)-실험목적-실험을 위해 필수 배경이론, 개념-실험의 가설 및 근거방법(Materials and Methods)-실험 도구 및 재료-실험
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대