• 통큰쿠폰이벤트-통합
  • 통합검색(4,199)
  • 리포트(3,680)
  • 자기소개서(338)
  • 시험자료(103)
  • 방송통신대(60)
  • 논문(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"논리 회로 설계" 검색결과 861-880 / 4,199건

  • 부경대 컴퓨터공학과 전기전자공학개론 기말고사 족보
    Invertor 로 동작하는 것을 설명하라( 논리값1 -> 5V, 논리값 0 -> 0V로 하고 Tr 의 β는 100으로 한다.) - 만약 ,input의 베이스전류 0,005mA일 ... 직류로 변환하는 보다 나은 설계로서 교류신호의 전체 주기를 모두 이용하는 이점 적용필터링-정류기의 출력에서는 맥동은 필터를 써서 제거필터초크-정류기로부터 얻은 직류를 보다 매끄럽게 ... 않는다.LED-다이오드에서 나오는 에너지를 빛의 형태로 방출21장 전원 공급기정류다이오드-평균 순방향 전류: 전류는 열을 발생 만약 다이오드에 흐르는 전류가 너무 크면 열은 p-n접합파괴 전원 공급기를 설계
    시험자료 | 6페이지 | 7,000원 | 등록일 2020.07.23 | 수정일 2020.10.19
  • 홍익대_디지털논리회로실험_1주차 예비보고서_A+(분반 보고서점수 1등)
    디지털 논리실험 및 설계 1주차 예비보고서실험 준비1.1 AND 게이트7408의 datasheet를 읽는 법을 간단하게 서술하고 기본 실험 (1)의 회로를 어떻게 결선하여야 하는지 ... VCC와 GND를 직접 결선하면 무슨 일이 일어날지 설명하시오.쇼트가 발생하여 이로 인해 회로가 고장날 수 있다. ... VCC에서 GND로 어떠한 구성 요소 없이 바로 전류가 흐르기 때문에 과열될 수 있고 화재나 폭발 가능성이 있다..1.3 기본 실험 (4)의 회로를 구현하시오.1.4 응용 실험 (2
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • SK하이닉스 소자 직무 합격 자기소개서
    설계하였고, 추가 과제인 7segment display에 최소의 전선을 이용하여 숫자를 출력하는 논리회로를 구현하였습니다. ... 연구하며 저는 chip을 이용한 회로설계도 재미있지만, chip을 구성하는 회로설계를 직접 해보고 싶다는 생각을 하였습니다4. ... 디지털 회로 설계에 자신이 있었던 저는 전반적인 회로 디자인을 보기쉽게 작성하여 실험전 팀원들에게 나눠주었고, 해당 회로의 소자들에대한 조사를 함께 진행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 충북대 기초회로실험 카운터 회로 예비
    카운터 회로(예비보고서)실험 목적(1) 비동기식 카운터의 구조와 동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 ... 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.이론(1) 비동기식 카운터플립플롭의 구동방식에 따라 비동기식 카운터와 동기식 카운터로 나뉜다. ... 동기식 카운터는 리플 카운터보다 전달속도가 매우 빠르고, 소형이며, 직접회로소자의 출현으로 이러한 형태의 카운터가 많이 사용되고 있다.동기식 카운터는 회로를 구성하고 있는 모든 플립플롭의
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 기초전자회로실험_vending machine
    결론 및 토의“실험 시에 발생한 오류들과 각각의 해결 방법을 기술 논리 회로 설계에서 오류를줄이기 위한 방법 제시”: 우선 회로를 연결하기 전에 전류가 정상적으로 흐르는지 확인한다. ... 회로설계할 때 개별 입력과, 핀번호는 첫번째 사진을 참고하면 된다.-전체 회로에 대한 실험 결과I. ... 서론-K-map을 이용한 논리 최적화에 대한 설명: K-map(카노프 맵)을 이용하는 방식은 체계적인 2 단 논리함수 최적화 방법 중 입력 변수의 개가 4 개 이하일 때 매우 효율적인
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 논리 대수와 드모르간 정리, 간소화 결과보고서 A+
    아무래도 노후화된 장비로 인해 구형파가 제대로 구현되지 않은듯하다.하지만 이번 실험을 통해 글로만 들었던 함수 발생기, 오실로스코프를 직접 다뤄보면서 익숙해졌고, 디지털 공학 수업과 논리회로설계 ... Experiment-Report(4장 논리 대수와 드모르간 정리, 간소화)1. ... 이전 논리게이트 실험에서 전압을 강하게 주었다가 이론적으로 LED불이 켜지면 안되는 상황에서 불이 들어와서 당황한 적이 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    논리로 구성한 논리합, 논리회로는 부논리에서 사용하면 각각 논리곱, 논리합의 회로가 된다.(3) 애서 B=open 상태는 어떤 입력을 가한 것과 동일한가? ... 기초회로실험1제출:2015.03.304주차실험제목 : 논리 게이트 및 부울 함수의 구현실험(1) SN7408로 회로를 결선하고, 1)B=0, 2)B=1, 3)B=open 상태에 대하여 ... 011 (4.9440V)101 (4.9605V)110 (4.783mV)ABY001 (4.4101V)010(0.16243V)100(0.16201V)111 (4.4122V)(a) (b)설계
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(예비) / 2021년도(대면) / A+
    연속 할당문- assign문을 이용하여 net형 객체에 값을 할당- 우변의 값에 변화(event)가 발생했을 때 좌변의 객체에 값의 할당이 일어남- 단순한 논리 표현을 이용한 조합논리회로 ... nonblocking 할당문에 따라 실행 방식이 달라짐④ 시뮬레이션이 진행되는 동안 무한히 반복 실행됨b. always 구문의 sensitivity_list(감지신호목록)- 조합논리회로 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • u Processor 설계
    ALU란 Arithmetic and Logic Unit의 약자로서 말 그대로 산술연산, 논리연산 및 시프트를 수행하는 중앙처리장치 내부의 회로 장치이다. ... 다중화기 multiplexer를 뜻하고 그 기능으로는 다중의 입력 신호 중에서 조건에 맞는 특정의 입력 신호를 하나만 선택하여 출력하는 논리 회로 이다. ... Decoder 란 데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 연세대학교 공과대학 컴퓨터과학과 편입학 학업계획서
    , PC설계, 디지털논리회로연습, 운영체제, 컴퓨터아키텍쳐 등의 전공과목을 수강한 바 있습니다. ... 이뿐만 아니라 정보공학, 정보관리, 정보처리, 컴퓨터교육, 컴퓨터통계, 논리회로설계, 소프트웨어공학, 반도체공학, 다소 컴퓨터와 거리가 멀게 느껴지는 재료공학에도 관심을 가지고 있습니다 ... 관심학문분야저는 컴퓨터공학 분야 중에서도 컴퓨터설계, IT프로그래밍 등에 큰 관심을 가지고 있습니다.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2021.01.18
  • 컴퓨터 개론 레포트
    이러한 논리 회로들은 우리 주변에 있는 대부분의 전자제품, 가전제품에 사용된다. ... 연산자를 정리하고 이것이 컴퓨터에서 중요하게 쓰이는 이유가 무엇인지를 설명하시오.논리 회로는 조건을 만족시키는 회로에 따라 나눌 수 있다. ... 회로논리곱 조건을 만족시키는 회로로서 2개의 조건 중 1개만 만족해도 되는 경우이다. (0)ㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡ세계
    리포트 | 2페이지 | 1,500원 | 등록일 2020.01.15
  • 전가산기 설계 보고서
    캐리를 C에 할당하고 더한 결과를S에 출력함으로써 전가산기의 동작을 그대로 표현했다.Verilog를 이용해서 동작을 모델링하며 설계 할 수 있으며, 디지털 회로구현에 논리 연산보다는 ... 전에 진리표를 이용해서 논리 식을 유도하는 과정이 필요하므로, 설계에 필요한 시간이 오히려 늘어날 수 있다.? ... case문으로 옮긴다는 것이다.장점 : 1.진리표를 그대로 옮기기 때문에 설계과정에서 간소화할 필요가 없다.2.컴파일러가 간소화하므로 설계시간을 줄일 수 있으며 설계과정에서 발생할
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.20
  • 디지털 실험 8장(디코더를 이용한 조합논리) 결과 보고서
    예제와 같이 디코더의 1Y0출력(상태00)은 주도로에서는 녹색등, 골목길에서는 붉은색등과 연결된다.2) 회로설계하고 진리표의 모든 조합들을 시험한다. ... 예를 들어 산술 논리 연산 장치(ALU)의 경우 수학적인 계산은 조합 논리로 구성하고 처리 순서를 조절하는 데는 순차 논리를 쓰는 식이다.Active-Low(부논리 회로)정논리 회로는 ... 실제 컴퓨터 회로에서는 일반적으로 조합 논리와 순차 논리가 함께 쓰인다.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • 서강대학교 일반대학원 전자공학과 연구계획서
    저는 OO대학교 전자공학부에서 컴퓨터구조, 소프트웨어공학, C프로그래밍과실습, 전자회로설계, 인공지능, 빅데이터응용, 논리회로설계, 회로이론1,2, 전자회로1,2, 전자소자, 전자공학실험1,2
    자기소개서 | 1페이지 | 3,800원 | 등록일 2021.10.03
  • 실습8 래치와플립플롭 예비보고서 중앙대 아날로그 및 디지털 회로 설계 실습
    실습목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다. 8-3. ... 설계실습 계획서8-3-1 RS 래치의 특성 분석(A) RS 래치의 진리표를 나타내고, 아래 그림 RS 래치의 이론적인 상태도를 그린다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.07.17
  • 현대위아 R&D(연구개발직) 합격자소서 입니다
    또한 주어진 상황을 고려해 생각이 논리적으로 반영된 설계를 하는 것이 목표였습니다. ... 둘째로 회로상 문제점인 전기적 단락현상을 '역으로 활용'해 순간 제동에 적용했습니다.그러나 제작에서 많은 문제점에 직면했습니다. ... 저는 이를 해결하고자 자료를 찾아 제동 상황에 더 적합한 방식을 제시하고 다른 방식도 수용하며 의견차를 좁혀갔습니다.이후 해석단계에서 브레이크 회로 배관방식에 따라 제동력이 결정되고
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.19
  • 입문자를 위한 임베디드 시스템 2장 퀴즈
    실제 회로에서 나타낼 시 부울 대수의 공식으로 AND, OR, NOT 게이트들을 이용한 식으로 분리 한 후에 그것들을 결합해서 여러개의 논리 게이트를 사용하는 복합 회로를 만들어야 ... 이 설계에 어떤 잘못이 있을까, 어떠한 증상이 발생할까, 어떻게 고칠 수 있을까?capacitor가 스위치를 기준으로 현재 반대에 위치하고 있다. ... 그렇다면, 실제 회로도에서는 이 회로가 어떻게 나타내어져야 할까?
    리포트 | 2페이지 | 2,000원 | 등록일 2021.06.24
  • 한양대학교 일반대학원 융합전자공학부 학업계획서
    재학 중에는 통신공학개론, 마이크로파공학, 마이크로파회로설계, 디지털논리회로실험, 기초전자공학실험, 일반물리1,2, 고급전자회로실험, 자료구조및알고리즘, 디지털신호처리개론 등의 수업을
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.10.02
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: 수2,3 XXX 교수님 XXX 조교님제출 일자: ... 실험 이론논리회로란 전기적 신호인 1과 0(high와 low)를 가지고 논리연산(AND, OR, NOT 등)을 수행하는 회로를 말한다. ... 이러한 논리 회로 중 기본이 되는 간단한 것을 논리게이트 또는 단순히 게이트라 한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.19 | 수정일 2020.09.24
  • CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요.
    래치와 플립플롭으로 구성된다.본론가산기는 덧셈 연산을 수행해주는 논리 회로인데 조합회로, 디지털 회로의 하나다. ... ● 주제제목:CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요.● 목차Ⅰ. 서론Ⅱ. 본론Ⅲ. 결론Ⅳ. ... 이런 발전이 계속되고 있지만 컴퓨터의 근본적 설계 개념은 크게 달라지지 않았기 때문에 컴퓨터의 구조를 충분히 학습하고 가야하는 이유가 생긴다.CPU는 연산장치와 제어장치로 구성되어
    리포트 | 4페이지 | 2,000원 | 등록일 2022.12.13
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감